JP2003508932A - 薄膜を成長させる、改良された装置および方法 - Google Patents

薄膜を成長させる、改良された装置および方法

Info

Publication number
JP2003508932A
JP2003508932A JP2001521471A JP2001521471A JP2003508932A JP 2003508932 A JP2003508932 A JP 2003508932A JP 2001521471 A JP2001521471 A JP 2001521471A JP 2001521471 A JP2001521471 A JP 2001521471A JP 2003508932 A JP2003508932 A JP 2003508932A
Authority
JP
Japan
Prior art keywords
reactant
carrier gas
reactants
reaction chamber
excited species
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001521471A
Other languages
English (en)
Other versions
JP2003508932A5 (ja
JP4994551B2 (ja
Inventor
イーフォ ラーエイマーケルス,
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23550311&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2003508932(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2003508932A publication Critical patent/JP2003508932A/ja
Publication of JP2003508932A5 publication Critical patent/JP2003508932A5/ja
Application granted granted Critical
Publication of JP4994551B2 publication Critical patent/JP4994551B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 基板層を堆積する、改良された装置および方法であり、基板層は、キャリアガス(C、D)によって、基板表面(5)に反応物(A、B)のシーケンスパルスを送達することによって成長する。反応物(A、B)のうちの少なくとも1つは、励起種(例えば、遊離基)を含む。特定の実施形態において、本発明の装置は、基板表面において反応を生じさせるために、キャリアガス(4、13)のフローによって、反応物が順次、繰り返されるシーケンスパルスを提供する。反応物パルスは、十分な介入遅延時間を有して送達されて、気相にある隣接するパルス内の反応物(A、B)間の好ましくない反応、または基板表面(5)上の好ましくない非制御反応を最小限にとどめる。

Description

【発明の詳細な説明】
【0001】 (発明の背景) 本発明は概して、半導体材料の製造における堆積プロセスの改良された装置お
よび方法に関する。
【0002】 化学蒸着(CVD)プロセスは、熱反応を用いて、または基板表面のガス性材
料(反応物)を分解して、層を順次堆積させることによって、基板(およびウェ
ハ)上に薄膜を形成するために長年用いられてきた。特定のタイプの堆積プロセ
スにおいて、原子層のエピタキシー(ALE)、順次堆積された単一層は、化学
的反応物に対して交互に露光されることによって、基板表面上に堆積される。反
応物ガスに対する基板の露光サイクルを繰り返すことにより、所望の層構造が生
成される。ALE技術は、例えば、M.Ritalaらの(1998年)J.E
lectroChemical Society 145:2914、H.Sh
rinrikiらの(1998年)J.ElectroChemical So
ciety 145:3247およびJ.L.Vossenらの「Thin F
ilm Deposition Processes II」(Academi
c Press、CA 1991年)に記載されている。ALEと「原子層化学
蒸着」すなわちALCVDとの用語は、本開示において均等とする。
【0003】 種々の装置が、層堆積プロセスにおいて採用されてきた。基本的なシステムは
、反応チャンバ、ヒータを備える基板ホルダ、反応物を基板表面に供給するガス
注入口を備えるガスフローシステム、および使用済みのガスを排気する排気シス
テムを含む。
【0004】 堆積装置は、複数基板のバッチ処理、または単一基板の処理用に構成されてい
る。現在のところ、より大きな基板には、堆積の均一性を高める単一基板加工の
方が好適である。水平ガスフローリアクタ、例えば、Oziasの米国特許第4
、846、102号、第5、096、534号および第5、244、694号に
記載され、基板表面において反応物ガスフローを濃縮させ、特には、均一な反応
物ガス速度プロファイル(Hawkinsら、米国特許第5、221、556号
)を生成するガスマニフォールドを備えた水平ガスフローリアクタにより、大き
な単一基板が、効率的かつ均一に加工される。
【0005】 ALCVD堆積プロセス、特に単一ウェハ加工用の水平フローリアクタにおい
て実施されるようなALCVD堆積プロセスは、段階方式で実行される。ALC
VD堆積プロセスでは、第1反応物がガス注入口またはマニフォールドを介して
、反応チャンバ内に導入されて、基板上に堆積層を形成する。余分な反応物ガス
は次いで、ポンプダウン工程(例えば、Shermanの米国特許第5、916
、365号を参照)で反応チャンバから排気される。必要に応じて、不活性パー
ジガスが、ガス注入口を介して流れ、残留反応物を排気する。ポンプダウンの後
、第2の反応物が、チャンバ内に導入され、堆積反応物と反応し、所望の基板層
が形成される。余分な反応物は次いで、別のポンプダウン工程で排気される。チ
ャンバのポンプダウンを間に入れながら、種々の反応物ガスを順次、追加するこ
とによって、層が基板表面に追加される。チャンバの排気の段階処理は、反応物
ガスを分離し、気相または反応チャンバの基板上以外の部分のこれらのガスの反
応を最小限にとどめて、基板加工に有害な粒子の形成と、反応物の空乏とを避け
るために採用される。間に入るチャンバ排気工程は、基板を加工するために必要
な時間のかなりの部分を占め、ほとんどの場合50%を超える。プロセス時間を
著しく短縮し、これにより製造コストを著しく削減することは、チャンバ内の排
気工程を取り除くことによって達成され得る。
【0006】 Suntolaらの米国特許第4、389、973号および米国特許第5、7
11、811号は、順次、付与される反応物ガスが、不活性気相にある拡散バリ
アによって分離されている基板のALE用の装置を記載している。例えば、反応
物ガスのタイミングが調節されたパルスは、反応チャンバ内に伝播されて、チャ
ンバを通過するキャリアガスの連続フローによって、基板と相互に作用し合う。
それゆえ、反応物ガスは、チャンバ内の排気を介入させる必要なしに、連続した
ガスフローの中にある基板に別々に付与される。米国特許第4、747、367
号および第4、761、269号(Crystal Specialties)
は、化学蒸着方法を記載している。化学蒸着方法において、ガスの一定フローお
よび圧力は、中性(neutral)キャリアガスストリーム内に反応物ガスを
順次、断続的に供給することで維持される。反応物ガスがキャリアガスフロー内
に入るように、またはキャリアガスフローから出るように切り換えられる場合、
反応チャンバ内の一定フローおよび圧力を維持するために、キャリアガスフロー
が減少または増加する。これらの技術が、十分に高い圧力下(>10torr)
(この状況下において気相の拡散は十分に低い)においてのみ機能することに留
意することが重要である。
【0007】 基板堆積プロセスにおいて、堆積層を形成するには、基板表面において化学種
と反応し、および/または化学種を分解する励起種(特に遊離基)を採用するこ
とが公知である。活性種を用いるプロセスにおいて、装置には励起用のデバイス
が設けられている。例えば、遊離基は、RFまたはマイクロ波エネルギーを付与
することによって(イオンとともに)生成され得、プラズマが形成される。遊離
基を形成する多くの別の方法(例えば、熱分解および光分解など)が、当該分野
において公知である。
【0008】 遊離基を含む反応種は、反応チャンバ内で、基板表面においてまたは基板表面
の近傍において、インサイチュ生成され得るか、または反応チャンバから離れて
生成されて、続いて、例えば、ガスフローによって、反応チャンバに運搬され得
る。インサイチュ遊離基の生成に関しては、米国特許第4、664、937号、
第4、615、905号および第4、517、223号、反応チャンバから離れ
ての遊離基の生成に関しては米国特許第5、489、362号を参照されたい。
反応チャンバから離れての遊離基の生成によって、基板加工に有害で有り得る、
潜在的な好ましくない反応種(例えば、イオン)を排除することが可能となる。
しかし、遊離基が反応チャンバ内に伝播される際にかなり失われ得るにもかかわ
らず、反応チャンバから離れて遊離基を生成する技術は、基板表面において十分
な遊離基の密度を提供することが必要である。遊離基の損失は概して、高圧力下
(>10 Torr)において激しく、それゆえにALEプロセスにおいて反応
物を分離するためにより高い圧力を用いることは不可能である。
【0009】 (発明の要旨) 本発明は、基板層を堆積する、改良された装置および方法を提供する。この装
置および方法において、基板層は、キャリアガスによって、基板表面に反応物の
シーケンスパルスを送達することによって成長する。反応物のうちの少なくとも
1つは、励起種(例えば、遊離基)を含む。特定の実施形態において、本発明の
装置は、基板表面において反応するために、キャリアガスのフローによって、反
応物の順次、繰り返されるパルスを供給する。反応物パルスは、十分な介入遅延
時間を有して送達されて、気相における隣接するパルスの反応物間の好ましくな
い反応、または基板表面上の好ましくない非制御反応を最小限にとどめる。遊離
基を含む十分に励起された種が存在し続け、そして不活性気相にある拡散バリア
を用い得る圧力範囲があり、その圧力範囲が、リアクタの設計に依存することを
発明者らは確認した。
【0010】 より具体的な実施形態において、本発明は、基板層を堆積する、改良された装
置および方法を提供する。この改良された装置および方法において、基板表面に
平行であり、基板表面において濃縮された均一のガスフローを備える水平フロー
反応チャンバと、基板表面に反応物のシーケンス濃度パルスを送達する連続フロ
ーキャリアガスとが結合されている。
【0011】 キャリアガスフローにおいて、時間的または空間的に離れている反応物パルス
を用いることによって、処理の速度が著しく増加される。これは、介入チャンバ
ポンプダウン工程が、必要ではないからである。本発明の方法および装置を用い
ることによって通常、高層成長率は約1〜10nm/分より速くなる。
【0012】 概して、本発明の方法は、反応物ガスの再循環が最小限にとどめられる限り、
薄膜を成長させるために設計された任意の反応チャンバに採用され得る。この反
応チャンバには、特に水平フローリアクタ、垂直炉および停滞フローリアクタが
含まれる。
【0013】 (特定の実施形態の詳細な説明) 本発明は、図面を参照しながらさらに記載される。図面において、同じ符号は
同様の特徴を示す。
【0014】 図1は、2つの異なる反応物AおよびB*(このうちの1つであるB*は励起種
を含む)のシーケンスパルスを基板に送達するように適合された本発明の堆積装
置を示す。装置は、必要に応じて加熱されるホルダ15上に位置付けられる基板
5を備える反応チャンバ10を有する。当該分野において公知であるように、基
板ホルダは、抵抗、誘導または赤外線ランプを用いることによって、加熱され得
る。ガスは、注入フランジ6にある1つ以上のガス注入口4を介して、リアクタ
内に流れる。残留ガスは、基板上を通過した後、スロットルバルブ14、圧力測
定および制御14Aを備える排気コンジット12を介して排除される。図示され
る反応チャンバは、放射を用いて加熱された、水平フローの冷壁リアクタであり
、通常、石英でできており、そして基板ホルダの上表面と実質的に一直線に並ぶ
ように配置され、かつ反応チャンバを上部分および下部分に分割するディバイダ
プレート16を有する。ディバイダプレートは、反応領域において実質的に一定
の断面を提供し、これにより、セルの再循環が防がれる。反応物が反応チャンバ
の底面に到達することを防ぐために、底面パージ17が設けられている。
【0015】 励起種20の生成器は、反応領域から離れた上流、好適にはチャンバ10の上
流に設けられる。概して、この生成器は、エネルギーソースを先駆物質分子(ま
たは分子の混合物)のフロー内に結合させて、励起種を生成する。例示の実施形
態において、生成器20は、マグネション(magnetion)からのマイク
ロ波エネルギーをガスライン8に結合させ、これにより、注入口7内のガスが励
起種を含む。この装置で用いることに適した別の生成器は、熱エネルギーまたは
可視UVもしくはIR輻射を先駆物質に結合させる。本発明における使用に適し
た例示のマイクロ波による遊離基生成器は、Rapid Reactive R
adicals Technology、R3T、Munich、ドイツ、モデ
ル番号TWR850である。先駆物質Bは、励起種生成器(マイクロ波によるプ
ラズマ生成器として示す)内にガスライン8を介して導入される。第2の反応物
(A)のソースがガスライン9に設けられる。キャリアガス(C)(反応物およ
び基板に対し不活性なガス)のソースが、ガスライン11に設けられる。各ガス
ラインには、別々の質量流量制御(MFC)(21a、bおよびc)が設けられ
、これにより、反応チャンバ内に導入されるキャリア、反応物および励起種B*
の相対的な量の選択が可能となる。ガスの流れを完全に止める遮断バルブ(22
a、b、c)が、ライン11、9および8に設けられる。図1において、Cはキ
ャリアガス(例えば、通常、N2またはAr)であり、Bは励起種に対する先駆
物質(例えば、N2、H2、NH3、O2)であり、Aは第2の反応物ガスである。
反応物Aのライン9には、ダンプ回路30aが設けられ、双方向バルブ27aを
介してライン9と選択的に連絡する。先駆物質Bのライン8には、同様のダンプ
回路30b、双方向バルブ27b、C用の回路に類似する不活性キャリアガス回
路D(22d、21d)が必要に応じて設けられる。好適な実施形態において、
反応領域への伝播中の励起種の減衰を最小限にとどめるために、励起種の生成器
から反応チャンバまで延びるコンジット7にはバルブが設けられていない。さら
に、好適な実施形態において、遊離基の壁損失を最小限にとどめるために、チュ
ーブ7は広くかつ短い(直径約2cm×長さ15cm)。別の実施形態において
、励起種は、リアクタ内に入る直前に、主なキャリアガスストリーム4内に導入
され得る。
【0016】 Aの堆積(Bから生じる励起種と堆積されたAとの反応が後に続く)によって
形成される連続原子層を配置する作業においては、以下の好適な手順が用いられ
る。
【0017】 当該分野において周知であるように、未加工ウェハは、反応チャンバ内のホル
ダ上に位置付けられる。主なキャリアガスCフロー(13)は、反応チャンバの
注入口側にあるMFC21c、バルブ22cおよび注入フランジ(6)を介して
、反応チャンバ(10)内に確立される。通常、50cm2の断面を有する20
0mmのリアクタにおいて、約50slmのフローが用いられる。好適なガスフ
ローは、チャンバの設計に依存し、然るべき実験なしで最適化され得る。反応チ
ャンバ内の圧力は、例えばスロットルバルブ(例えば14)およびドライポンプ
(当該分野において公知であるが、図1には図示しない)を用いた従来の技術に
よって、好適には0.5から100Torr、そして好適には1〜20Torr
、そしてより好適には2〜10Torrに設定される。当該分野において周知で
あるように、ホルダ(サスセプタ)および基板の温度は、加熱および熱電対制御
によって、所望のバルブにおいて制御される。最適温度は、用いられる反応物に
大いに依存する。以下に記載する例示の反応に関して、温度は通常、約200℃
〜500℃の範囲である。
【0018】 反応物Aのフローは、ダンプ回路30a内に流れるように最初に設定されたバ
ルブ27aを介して、MFC21aによって設定される所望のフロー速度(通常
、<1slmであり、適切なプロセス化学に依存する)にて確立される。先駆物
質ガスBのフローは、バルブ22Bを介して遊離基生成器20を流れ、MFC2
1bによって設定される所望のフロー速度(通常、数slmであり、同様に適切
なプロセス化学に依存する)にて確立される。励起種の生成器を流れるフローは
、コンポーネントD、27d、21dによって確立されたキャリアガス内の先駆
物質Bの混合物であり得る。あるいは、先駆物質ガスBは、キャリアガスおよび
先駆物質ガスの混合物であり得る。最初は、遊離基生成器は、スイッチを入れら
れず、非励起の先駆物質ガスのみがコンジット7を介して、反応チャンバ内に流
れ、キャリアガスCのフローに入る。励起窒素の種が採用される1実施形態にお
いて、キャリアガスCおよび先駆物質ガスBの双方は窒素である。この場合、ダ
ンプ回路30bおよびキャリアガスサプライDは必要ではない。
【0019】 層堆積のサイクルを開始するには、バルブ27aに、所定の時間(TA、通常
、数分の1秒〜数秒の範囲で)ダンプ回路からリアクタ注入口に切り換わり、次
いでダンプ回路に切り換わり、反応物Aの濃度パルスをキャリアガスCのフロー
内に供給する。所定の遅延時間(tS 1)の後、遊離基生成器に電源を入れ、励起
種B*、例えば、遊離基を反応チャンバ内に供給する。遊離基生成器を所定の時
間(tB、通常、数分の1秒〜数秒の範囲で)オンにした後、スイッチをオフに
して、励起種B*のパルスを供給する。所定の遅延時間(tS 2)の後、所望の層
の厚さが達成されるまで、AおよびB*パルスのサイクルが繰り返される。tS 2
がtS 1とは異なり得ることに留意されたい。用いられるマイクロ波電力は概して
、100W〜5kwのオーダーであり、より好適には、500W〜2kwの範囲
である。この好適な実施形態において、BおよびCが継続的に流れ、A<Cおよ
びB*<B*である場合、ガスフローがほとんど一定であることに留意されたい。
当該分野において公知であるように、ウェハの加工後、チャンバの状態(圧力、
ガスフローおよび温度)を調節して、加工ウェハと未加工ウェハとを交換する。
加工サイクルが未加工ウェハ上で繰り返される。
【0020】 遅延時間(例えば、tS 1およびtS 2)を調節することで、好ましくないレベル
の気相反応および基板表面上の非制御反応が避けられる。好ましくないレベルの
気相および非制御表面反応は、基板上に形成された層内の許容できない欠陥を引
き起こすレベルの反応である。このような欠陥により、加工基板またはウェハを
使用することが不可能となり得る。本開示を考慮すると理解されるように、所定
の反応システムおよび反応物における反応物のパルスのタイミングの設定は、通
常の実験によって容易に決定され得る。これを図3A〜Cを参照しながら以下に
さらに記載する。反応物のパルス間の遅延時間は、異なってもよいし、そして所
望の場合には加工の間に変更されてもよい。
【0021】 本発明の装置には、バルブの調節を自動化し、かつ励起種生成器のスイッチを
オンおよびオフにして、所望のタイミングに調節された反応物のパルスを供給す
るマイクロプロセッサおよび/またはコンピュータ制御が設けられ得る。このよ
うな制御は当該分野において公知であり、所定の装置に容易に設けられ得る。
【0022】 本発明の装置は、反応チャンバ内への1つ以上のガス注入口を備えるガス注入
システムを有する。ガス注入口システムにより、反応チャンバ内へのキャリアガ
スフローが確立されて、キャリアガスフローがチャンバ内の基板表面と接触する
ことが可能となる。ガス注入システムによりまた、1つ以上の反応物(励起種を
含む少なくとも1つの反応物を含む)をキャリアガスフロー(これは、反応物を
運搬して基板表面と接触させる)内に導入することが可能となる。キャリアガス
フローは、反応チャンバから真空ポンプ排気口を介して排気される。ガス注入シ
ステムは、反応物の濃度パルスを生成するバルブを有し得る。好適には、励起種
は、反応チャンバから離れて生成され得る。当該分野において公知であるように
、励起種はまた、反応チャンバ内でインサイチュ生成され得る。好適な場合、ガ
ス注入システムは、励起種をキャリアガスフロー内に導入する別々のコンジット
を備える。励起種はまた、チャンバに入る直前に、キャリアガスフロー内に導入
され得る。この場合、より多くの遊離基が損失されるかもしれないが、依然反応
を完了するために十分な遊離基は存在し得る。
【0023】 本発明は水平フローで示すが、一定の断面の反応領域を備える冷壁リアクタ、
他の水平設計(例えば熱壁リアクタ)もまた、本発明の方法において採用され得
る。同様に、本発明は、米国特許第5、294、572号、第5、336、32
5号および第5、662、470号において記載されるように、垂直バッチ反応
チャンバおよび炉で用いるように容易に適合され得る。本発明はまた、ガスフロ
ーが、例えば、シャワーヘッドの設計など、基板表面の上に位置付けられる注入
口を介して、基板表面に対し(平行ではなく)垂直に送達される反応チャンバで
用いるようにも容易に適合され得る。いずれの場合にしても、反応物の再循環を
避けるために反応チャンバの設計が注意される。再循環により、反応種の残留時
間が大きく延び、これにより好ましくない気相反応がもたらされ得る。好ましく
ない気相反応により、反応チャンバ内に粒子が形成されるようになり、基板上に
形成される層の質が低下し得る。再循環は、ウェハの上流で起こる場合、その後
堆積プロセスに影響を与えるため、特に有害であり得る。遊離基を十分に残すこ
とを保証するために、チャンバのできるだけ近傍にて励起種を動作するように注
意する必要がある。
【0024】 図2Aは、本発明の別の反応チャンバ10を示し、励起種は反応チャンバ10
内で形成される。この場合、シャワーヘッド注入口40が設けられており、これ
を介してキャリアフローおよび反応物ガスパルスが確立される。当該分野におい
て周知であるように、プラズマは、RFマッチングネットワークに結合されたR
F生成器42を用いて、シャワーヘッドに電気的に接続されたRF電力を印加す
ることによって生成され得る。ガスライン41は、ガスマニフォールドからシャ
ワーヘッド注入口内にガスを配向する。図1の装置にあるような、バルブおよび
質量流体コントローラを備えたキャリアガスCのソースは、チャンバ内にキャリ
アガスフローを供給し、キャリアガスフローは、必要に応じて加熱されるホルダ
15上の基板またはウェハ(5)と接触しながら通過し、排気ライン12を介し
て排気される。図1に示すような、バルブ、質量流量コントローラおよびダンプ
回路30Aを備えた反応物Aのソースは、バルブ27aの調節されたタイミング
の動作によって、キャリアガスC内にAの濃度パルスを供給する。Aのパルスは
、RF電源のパルスと交代して、Aの交代パルスと励起C*種を生成する。この
場合、励起C*種と堆積されたA反応物との反応によって、層が形成される。上
述および以下の図3A〜3Cを参照しながら記載するように、AおよびC*のパ
ルスは、時間的および空間的に分離され、好ましくないレベルの気相または非制
御表面反応が避けられる。例えば、Cは、窒素キャリアガスであってもよいし、
*は励起窒素種であってもよい。
【0025】 当該分野において公知であるように、プラズマはまた、RFコイルを用いて、
反応チャンバ内に生成され得る。当該分野において周知であるように、RF電力
は、変調されて励起種の濃度パルスを供給し得る。シャワーヘッドタイプの注入
口を採用してプラズマを生成する別の手段は、米国特許第5、134、965号
に開示されており、これを本明細書中で参考として援用する。
【0026】 図2Bは、シャワーヘッド注入口40を採用して、遠隔で励起種のプラズマを
生成する別のリアクタ設計を示す。RFキャビティすなわちコイル47が、注入
ライン41に設けられる。RF電力は、RF生成器42からRFマッチングネッ
トワーク45を介して印加されて、注入ライン41内にプラズマを生成する。励
起種は、RF電力をオンとオフとにすることによって、注入口内に形成される。
励起種の濃度パルスは、キャリアガスフローによって反応チャンバ内に運搬され
る。RF生成器およびRFマッチング回路の動作は、当該分野において周知であ
る。
【0027】 図3Aおよび3Bは、反応チャンバを通過するフロー距離の関数としての反応
物濃度のグラフであり、反応チャンバを横断するガスパルスの進行の概略を、1
次元(x)で示す。図3Aは、(例えば、遊離基生成器のスイッチをオンにする
ことによって)反応物B*のパルスが反応チャンバに入る瞬間の時間T0の図であ
る。この時点において、Aの以前のパルスは、LSに等しい距離(すなわち、異
なる反応物のパルス間の遅延時間TSに横断する距離)を横断する。図面におい
て、各ガスがオンである時間は、ガスパルス間の遅延時間と比較すると取るに足
りないことが想定されている。LDは、反応物の特徴的な拡散の長さであり、す
なわちガス拡散による時間の関数としての反応物パルスの広がりの尺度である。
ここでLD=2√Dtであり、Dはキャリアにおける反応物ガスの拡散係数であ
り、tは残留時間であり、t=LR/Dである。図3Bは、時間t0+1/2(L R /v)におけるB*パルスおよびAパルスを示す。これらの図面に示すように、
パルスが広くなるのは、パルスが反応チャンバを横断する場合の反応物の拡散に
起因する。図3Bに示すパルスは、パルスが広くなったにもかかわらず、パルス
間の距離が十分に離れており、異なるパルスの中にある反応物同士の相互干渉お
よび反応が避けられる。LRは、反応チャンバの長さであり、vはガスのフロー
速度である(v=Q/pAであり、Qは質量流量速度であり、pは反応チャンバ
内の圧力であり、Aはリアクタの断面積である)。パルス間の遅延tSは、好適
には、リアクタチャンバ内の反応物ガスパルスの重複が最小限にとどまり、そし
て気相または非制御表面反応が最小限にとどまるように十分に長い。表面上の著
しい気相反応または非制御反応は、LD<LS(例えば、好適には、LSは、LD
少なくとも約5〜10倍)の場合に避けられる。リアクタのスループットを最小
限にとどめるには、短いサイクルが望ましいことに留意されたい。パルス重複を
最小限にとどめようとすると、代償にスループットが増加する。
【0028】 表1は、0.2〜20Torrの圧力範囲、1〜50slmのN2ガスフロー
速度(Q)範囲で、LS=10LDであると仮定した場合の、LSおよびtSの計算
結果を提示する。ほとんどの場合、パルス間の遅延時間(tS)(例えば、ある
反応物を遮断してから別の反応物を承認するまでの時間)は小さい(すなわち1
秒より短い)。遅延時間は、フローが最低で、圧力が最高のときにのみ1秒を超
える。それゆえ、LSが10LDに制約される場合でさえも、反応物パルスシーケ
ンスがかなり速く連続して(通常、1秒ごとに)互いに続き得、速い層成長速度
が可能となる。この状態はLS>>LRの場合に存在することに留意されたい。実
質的に、これは、1つの反応物が、他の反応物が導入される前に、リアクタから
完全にパージされることを示唆する。
【0029】 図3Bは、隣接する反応物のパルスが広がり、そして反応チャンバを横断する
場合に、隣接する反応物のパルス同士が重複しないように、パルス間の時間が十
分に長いパルス時間の方式を示す。この場合、1パルスの前縁(LEB*)がその
直前のパルスの後縁(TEA)と重複しない。激しい気相反応は、LSがパルスの
後縁と前縁との間の距離より小さい(好適には5〜10倍小さい)場合に避けら
れる。図3Cは、反応物パルスのいくらかの重複が許容される実施形態を示す。
図面に示す重複の量では通常、気相反応または非制御表面反応のレベルは、基板
またはウェハ上に形成される層の質に有害な影響を与えるほど高くならない。加
工時間を加速し、これによりウェハのスループットを向上するには、層の質が有
害な影響を受けない限りにおいて、より短いパルス間の遅延時間を用いることが
好適である。反応物のパルス重複は、リアクタを流れるフローの間の、時間的、
空間的またはこれら双方の所定の点における反応物の相対的濃度によって特徴付
けられ得る。好適な最大重複を以下のように規定する。
【0030】 反応物Aの濃度がその最大(MA)である任意の時間的または空間的な点にお
いて、これと同じ点における反応物B*の濃度は、B*(MB)の最大濃度の約1
0%より低く、反応物B*の濃度がその最大(MB)である任意の時間的または空
間的な点において、これと同じ点における反応物Aの濃度は、A(MA)の最大
濃度の約10%より低い。この最大重複基準を満たすために選択された時間遅延
は通常、十分に汚れのない層堆積および短縮した加工時間を提供する。より反応
性の強い化学物質が層堆積に採用される場合、最大重複を減少して、層の質に影
響を及ぼし得る好ましくない反応を避ける必要がある。このような場合、B*
最大濃度における反応物Aの最大濃度、およびAの最大濃度における反応物B*
の最大濃度は、それぞれ1%以下である。
【0031】 概して、パルス反応物システムは、拡散を最小限にとどめる状況下において(
例えば、速いフロー速度で)動作することが好適である。ガスパルス間の遅延時
間tSは上述のように選択されて、反応物間の好ましくない気相反応および好ま
しくない非制御表面反応が避けられるかまたは最小限にとどめられ、これにより
加工時間が最小限にとどめられる。用いられる好適なキャリアガス(単数または
複数)は、所定の加工において用いられるべき任意の反応物に対し無反応であり
、そしてまた基板表面に対しても無反応である。このようなキャリアガスの例と
して、N2、H2、希ガスおよびこれらの混合物があり、この選択は、加工の選択
または先駆物質および露光された層に依存する。反応物ガスには、純反応物ガス
;反応物の混合物;励起種(例えば、遊離基)または励起中性種の混合物;なら
びに希釈剤またはキャリアガスと励起中性種との混合物が含まれる。反応物は通
常、キャリアガスにより反応チャンバ内に導入される。反応物は、反応チャンバ
内に導入されるとき、気相にある。
【0032】 1サイクル(これはパルス間に適切な遅延時間を有する各反応物の1パルスか
らなる)において、1つの単一層またはそれ以下のものが通常(約0.03〜0
.3nm/層のオーダーで)成長する。半導体用途において有用である実用膜は
、厚みが約1〜約50nmの範囲にわたる。実用膜用装置を加工する単一ウェハ
における加工時間は、図示するリアクタにおいては約6〜600秒必要である。
【0033】 層堆積の加工サイクルを、2つの反応物(これらのうちの1つは励起種を含む
)のシーケンスパルスによって例示する。本発明の装置および方法は、2つより
多い反応物(励起種または被活性種あるいは励起遊離基または被活性遊離基を含
む反応物を含む)を必要とする複合層の形成に採用され得る。本発明において採
用する複数反応物パルスの設定または順序は、連続的にすることも可能であり、
あるいは幅広い種々の堆積または層形成プロセスに応じて反応物パルスを任意の
順序に容易に適合することも可能である。本発明の方法を、選択された遅延時間
(tS)および選択されたパルス期間(tAおよびtB)分離された反応物のシー
ケンスパルスによって例示してきた。反応物間の気相または非制御表面反応が好
ましくないレベルにならないように、用いられる遅延時間が十分に長くある限り
、反応物のパルス間の遅延時間は、複数サイクルにわたって変異し得る。異なる
反応物のパルスの期間は、同じであってもよいしまたは異なってもよく、好適に
は所望のレベルの表面反応を達成するために選択される。層堆積の複数サイクル
にわたる同じ反応物のパルスの期間は、同じであってもよいし、または異なって
もよく、さらに、好適には所望のレベルの表面反応を達成するように選択されて
もよい。
【0034】 図3A〜Cの反応物パルス方式は、図1および図2の装置に図示するような、
キャリアガスの単一のフローによって運搬される複数パルスを示す。別の実施形
態において、反応物のシーケンスパルスは、別々のキャリアガスフローの中で、
1つ以上の基板を含む反応領域に送達され得る。
【0035】 基板を加工する際に有用な遊離基または励起種には、特に、窒素のマイクロ波
放電によって生成される活性窒素(N*、N2 *、N2 +またはこれらの混合物)、
酸素のマイクロ波放電によって生成される酸素遊離基、光分解または熱分解によ
って生成される遊離基または反応種が含まれる。当該分野において周知であるよ
うに、遊離基生成用のプラズマは、マイクロ波またはRFエネルギーによって生
成され得る。遊離基または励起種は、反応領域に伝播される間に、気相反応によ
って、コンジットの壁で、再結合するか、または非励起状態に減衰しやすい。し
たがって、ウェハ表面上の反応領域のできるだけ近傍に遊離基生成器を取り付け
ることが有益である。さらに、励起種が伝播する間の圧力をあまりに高くするこ
とはできない。N2に関して、約50torrまでの圧力では、遊離基がきちん
と生成そして伝播されることが証明されている。本発明の装置における圧力を約
5〜10torrで維持することが好適である。
【0036】 励起中性種には、励起した電子状態にある遊離基、ならびに原子および分子が
含まれ得る。励起中性種は、RFまたはマイクロ波エネルギーによって保持され
るプラズマ内に生成され得る。あるいは、励起中性種は、遊離基および/または
励起状態を形成する中性種を分解する選択された波長(紫外線(U.V.)、可
視線および赤外線(IR)の波長を含む)で、先駆物質の中性種を照射すること
によって生成され得る。励起中性種、特に遊離基はまた、先駆物質の熱分解によ
って形成され得る。
【0037】 本発明の装置は、好適にはマイクロ波による遊離基および励起中性種の生成器
によって実施される。この中性種生成器は、反応チャンバから離れていてもよい
し、または反応チャンバ内にあってもよい。パルスによる反応物システム内での
用途に関しては、圧力は、好ましくない反応を避けるために、反応パルス同士の
互いの拡散を制限するのと同様、プラズマの強熱を促進できるように十分に高く
なるように選択される。圧力はまた、励起種の実質的な減衰、または遊離基の再
結合が避けられるように十分に低く選択される。これにより、励起種の実質的な
量が反応領域に達する。リアクタ圧力は、約0.5から10〜20Torrの範
囲にあることが好適である。上述のように、これらの比較的低い反応物圧力で高
い効率を提供する好適なマイクロ波プラズマソースが、Steinhardtら
の米国特許第5、489、362号において記載され、R3Tから市販されてい
る。
【0038】 特定の実施形態において、本発明の装置は、シリコンウェハ上にシリコン窒化
物層を生成するために用いられ得る。本願において、反応物Aはキャリアガスと
して窒素を用いるSiH4であり、基板は約300〜550℃まで加熱される。
*は、マイクロ波による遊離基生成器に窒素を通過させることによって生成さ
れる活性窒素である。SiH4の繰り返されるシーケンスパルスおよび活性窒素
により、所望の厚さのSiN層が成長する。
【0039】 他の特定の実施形態において、 (1)タンタル酸化層は、本発明の装置を採用する適切な基板上に堆積され得
る。本願において、反応物Aは、窒素キャリアガスCを用いるTa、好適にはタ
ンタルペンタエトキシド(pentaethoxide)である揮発性ソースで
あり、基板は約200℃〜400℃まで加熱される。B*は、マイクロ波による
遊離基生成器に酸素ソースガスB(好適には、O2)を通過させることによって
生成される酸素遊離基である。
【0040】 (2)タンタル窒化物層は、本発明の装置を採用する適切な基板上に堆積され
得る。本願において、反応物Aは、窒素キャリアガスを用いるTa、好適にはペ
ンタキス(pentakis)(ジメチルアミノ)タンタルである揮発性ソース
であり、基板は約200℃〜400℃まで加熱される。B*は、窒素またはアン
モニアの遊離基であり、マイクロ波による遊離基生成器に窒素またはアンモニア
を通過させることによって生成され得る。あるいは、N2とH2との混合物を、遊
離基生成器に通過させてもよい。タンタル窒化物層は、例えば、銅の金属被覆す
る際に、拡散バリアとして用いられ得る。
【0041】 (3)タンタル窒化物層は、本発明の装置を採用する適切な基板上に堆積され
得る。本願において、反応物Aは、窒素キャリアガスを用いるTi、好適にはペ
ンタキス(ジメチルアミノ)タンタルの揮発性の非酸素を含むソースであり、基
板は約200℃〜400℃まで加熱される。B*は、窒素またはアンモニアの遊
離基であり、マイクロ波による遊離基生成器に窒素またはアンモニアを通過させ
ることによって生成され得る。あるいは、N2とH2との混合物を、遊離基生成器
に通過させてもよい。タンタル窒化物層は、例えば、アルミニウムベースの金属
被覆する際に、拡散バリアとして用いられ得る。
【0042】 (4)TaまたはTiなどの金属層は、本発明の装置を採用する適切な基板上
に堆積され得る。本願において、反応物Aは、例えば、窒素キャリアガスを用い
るTaCl5またはTiCl4であり、基板は約200℃〜400℃まで加熱され
る。B*は、水素の遊離基であり、マイクロ波による遊離基生成器に水素を通過
させることによって生成され得る。
【0043】 また別の特定の実施形態において、本発明の装置は、反応物の選択的な吸着に
よる層の成長において採用され得る。例えば、ある条件下において、金属有機先
駆物質またはSiH4は、好適にはSiO2またはSiN4上ではなくSi上に吸
着されるように製造され得る。Si上で選択的に吸着された種を遊離基に対して
露光することにより、反応がSi上のみで効率的に完了する。それゆえ、層がS
iの領域上でのみ成長する。誘電体に関しても、同様に反応物を選択的に吸着さ
せ、かつ選択層を成長させることが、金属領域上(例えば、CuまたはAl)で
達成され得る。
【0044】 上述のように、本発明のパルスによるガスフロー装置は、好適には、例えば、
Crabbらの米国特許第4、828、224号、第5、156、521号、第
5、092、728号および第5、435、682号において記載されるような
リアクタシステム内の水平フローリアクタで実施される。異なるサイズ、形状お
よび材料の種々の基板およびウェハを、本発明の装置および方法によって加工し
得る。基板は、通常加熱される基板ホルダ(サセプタ)に位置付けられる。種々
の基板ホルダが当該分野において公知である。例えば、ボート内にウェハを積み
重ね、1つのサセプタ上にウェハを側方向に離して位置付けるか、または反応チ
ャンバ内に1つ以上のウェハを保持する複数のサセプタを設けることによって、
複数の基板を同時に加工し得る。
【0045】 本明細書において具体的に記載する以外の反応物、励起種の先駆物質、材料、
手順、条件および構造が、過度の実験を行うことなく、本発明の実施に採用され
得ることを当業者は理解する。本明細書において具体的に開示される反応物、先
駆物質、物質、手順、条件および構造のすべての公知の機能的な均等物が、本発
明に含まれるものとする。
【0046】 本明細書において引用されるすべての文献は、本明細書における開示と一致す
る限りにおいて、本明細書中でその全体を参考として援用する。
【0047】
【表1】
【図面の簡単な説明】
【図1】 図1は、チャンバから離れた場所にマイクロ波プラズマ生成器が設けられた本
発明の反応チャンバの概略図である。
【図2A】 図2Aは、本発明の別の反応チャンバの概略図である。図2Aは、反応チャン
バ内にR励起種生成器が設けられた反応チャンバである。
【図2B】 図2Bは、本発明の別の反応チャンバの概略図である。図2Bは、ガス注入ラ
インに励起種生成器が設けられた反応チャンバである。
【図3A】 図3Aは、反応チャンバを通過するフローパスに沿った距離xの関数として反
応物の濃度を示したグラフである。これは、本発明の装置を通過する同じキャリ
アガスフロー内の反応物AおよびB*(遊離基を含む)のシーケンスパルスの進
行の概略を示す。図3Aは、B*のピークが、x=0において反応チャンバに入
る瞬間の時間t0における反応物パルスを示す。ここで、xは反応チャンバに沿
った距離である。このグラフは、濃度パルスが対流によって正のx方向に移動し
、拡散によって時間および距離とともに広くなることを示している。
【図3B】 図3Bは、反応チャンバを通過するフローパスに沿った距離xの関数として反
応物の濃度を示したグラフである。これは、本発明の装置を通過する同じキャリ
アガスフロー内の反応物AおよびB*(遊離基を含む)のシーケンスパルスの進
行の概略を示す。図3Bは、時間t=t0+1/2(LR/v)における図3Aの
AおよびB*の反応物濃度パルスを示す。ここでLRは、反応チャンバの長さであ
り、vはガス速度である。図3Bは、本発明のより好適な実施形態を示し、反応
物AおよびB*のパルスは、時間的、かつ、空間的に十分に離れており、キャリ
アガスフロー内で反応物パルスが重複することはない。この場合気相または非制
御反応が起こってはならない。
【図3C】 図3Cは、反応チャンバを通過するフローパスに沿った距離xの関数として反
応物の濃度を示したグラフである。これは、本発明の装置を通過する同じキャリ
アガスフロー内の反応物AおよびB*(遊離基を含む)のシーケンスパルスの進
行の概略を示す。図3Cは、本発明の方法に関する、反応物パルスの異なるタイ
ミングの連続を示す。最大反応物パルスの重複が好ましくない反応を避けるため
に十分に低いことを示す。
【手続補正書】
【提出日】平成14年3月12日(2002.3.12)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】0047
【補正方法】変更
【補正の内容】
【0047】
【表1】
【手続補正2】
【補正対象書類名】図面
【補正対象項目名】図1
【補正方法】変更
【補正の内容】
【図1】
【手続補正3】
【補正対象書類名】図面
【補正対象項目名】図2A
【補正方法】変更
【補正の内容】
【図2A】
【手続補正4】
【補正対象書類名】図面
【補正対象項目名】図2B
【補正方法】変更
【補正の内容】
【図2B】
【手続補正5】
【補正対象書類名】図面
【補正対象項目名】図3A
【補正方法】変更
【補正の内容】
【図3A】
【手続補正6】
【補正対象書類名】図面
【補正対象項目名】図3B
【補正方法】変更
【補正の内容】
【図3B】
【手続補正7】
【補正対象書類名】図面
【補正対象項目名】図3C
【補正方法】変更
【補正の内容】
【図3C】
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4K030 AA06 AA11 AA13 AA14 BA17 BA38 BA40 BA42 CA02 CA04 EA01 EA03 FA10 FA14 HA01 HA16 JA01 JA06 KA49 LA11 LA15 5F045 AA00 EB02

Claims (27)

    【特許請求の範囲】
  1. 【請求項1】 薄膜を成長させる装置であって、 (a)反応チャンバと、 (b)該反応チャンバ内に装着された基板と、 (c)キャリアガスフローを該反応チャンバ内に確立し、1つ以上の反応物を
    該キャリアガスフロー内に導入するガス注入システムであって、該反応物の少な
    くとも1つが励起種を含む、ガス注入システムと、 (d)ガス排気口と、 を備え、 該チャンバの動作中に、時間的に離れた反応物のパルスが該キャリアガス内に
    導入され、これにより、所望の膜が該基板上に堆積される、装置。
  2. 【請求項2】 前記ガス排気口と連絡する真空排気システムをさらに備える
    、請求項1に記載の装置。
  3. 【請求項3】 前記キャリアガス内に導入する励起種の生成器をさらに備え
    る、請求項1に記載の装置。
  4. 【請求項4】 前記反応チャンバ内にある隣接するパルス内の反応物の好ま
    しくない反応が最小限にとどまるように、該反応物のパルスが十分に離れている
    、請求項1に記載の装置。
  5. 【請求項5】 前記反応物のパルスが時間的に十分に離れており、これによ
    り、前記キャリアガス(LD)内の連続した反応物の特徴的な拡散の長さが、シ
    ーケンスパルスの後縁と前縁との間の距離より短い、請求項1に記載の装置。
  6. 【請求項6】 前記反応物のパルスが時間的に十分に離れており、これによ
    り、前記キャリアガス(LD)内の反応物の特徴的な拡散の長さが、シーケンス
    パルスの後縁と前縁との間の距離より5〜10倍短い、請求項5に記載の装置。
  7. 【請求項7】 前記励起種の生成器がマイクロ波による遊離基生成器である
    、請求項3に記載の装置。
  8. 【請求項8】 前記マイクロ波による遊離基生成器が前記反応チャンバから
    離れている、請求項7に記載の装置。
  9. 【請求項9】 励起種が前記反応チャンバ内で生成されるプラズマ内に生成
    される、請求項3に記載の装置。
  10. 【請求項10】 励起種を含まない反応物のパルスが励起種を含む反応物の
    パルスと交代する、請求項1に記載の装置。
  11. 【請求項11】 前記ガス注入システムが、反応物ソースと連絡する第1の
    キャリアガス注入口と、励起種の生成器と連絡する第2のガス注入口とを備える
    、請求項3に記載の装置。
  12. 【請求項12】 前記反応チャンバを通過するときの空間的、かつ、時間的
    な点におけるキャリアガスフロー内の反応物の前記濃度がその最大値の約10%
    以下であると同時に、該空間的、かつ、時間的な点において、キャリアガスフロ
    ー内の第2の反応物の前記濃度がその最大値である、請求項1に記載の装置。
  13. 【請求項13】 前記反応チャンバを通過するときの空間的、かつ、時間的
    な点におけるキャリアガスフロー内の反応物の前記濃度がその最大値の約1%以
    下であると同時に、該空間的、かつ、時間的な点において、キャリアガスフロー
    内の第2の反応物の前記濃度が最大値である、請求項1に記載の装置。
  14. 【請求項14】 反応物の交代パルスが同じキャリアガスフローによって運
    搬される、請求項1に記載の装置。
  15. 【請求項15】 反応物のパルスのタイミングを提供する制御システムをさ
    らに備える、請求項1に記載の装置。
  16. 【請求項16】 基板上に層を堆積する方法であって、 (a)該基板を受け取り、キャリアガスを受け取る反応チャンバを提供する工
    程と、 (b)該キャリアガス内の反応物の濃度パルスを提供する工程であって、該パ
    ルスのうちの少なくとも1つが、該反応チャンバを移行する間に、好ましくない
    気相反応または非制御表面反応が最小限にとどまるように、時間的、かつ、空間
    的に十分に離れている励起種を含む、提供する工程と、 (c)該所望の層の厚さが該基板表面上に形成されるまで該反応物のパルスを
    繰り返す工程と、 を包含する、方法。
  17. 【請求項17】 サイクルごとの前記層の厚さが約1nmより薄い、請求項
    16に記載の方法。
  18. 【請求項18】 空間的、かつ、時間的な点におけるキャリアフロー内の任
    意の1つの反応物の前記濃度が最大値の10%以下であると同時に、該空間的、
    時間的またはその双方の点において、別の反応物がその最大濃度である、請求項
    16に記載の方法。
  19. 【請求項19】 空間的、かつ、時間的な点におけるキャリアフロー内の任
    意の1つの反応物の前記濃度が最大値の1%以下であると同時に、該空間的、か
    つ、時間的な点において、別の反応物がその最大濃度である、請求項16に記載
    の方法。
  20. 【請求項20】 前記反応物のパルスが時間的に十分に離れており、これに
    より、前記キャリアガス(LD)内の該反応物または励起種の前記特徴的な拡散
    の長さが、該パルスの後縁と前縁との間の距離より短い、請求項16に記載の方
    法。
  21. 【請求項21】 前記反応物のパルスが時間的そして空間的に十分に離れて
    おり、これにより、前記キャリアガス(LD)内の該反応物または励起種の前記
    特徴的な拡散の長さが、該パルスの後縁と前縁との間の距離より5〜10倍短い
    、請求項16に記載の方法。
  22. 【請求項22】 前記励起種がプラズマ内に形成される励起中性種または遊
    離基である、請求項16に記載の方法。
  23. 【請求項23】 励起種を含まない反応物ガスのパルスが励起種を含む反応
    物のパルスと交代する、請求項16に記載の方法。
  24. 【請求項24】 前記ガス注入システムが、前記反応チャンバ内にキャリア
    ガスフローを導入する第1のガス注入口と、該キャリアガスフロー内に励起種を
    含む反応物を導入する第2のガス注入口とを備える、請求項16に記載の方法。
  25. 【請求項25】 励起種を含む前記反応物の濃度パルスが、前記励起種の先
    駆物質のフローに結合されるエネルギーソースのパルシングをすることによって
    生成される、請求項16に記載の方法。
  26. 【請求項26】 前記エネルギーソースが熱エネルギーを供給する、請求項
    24に記載の方法。
  27. 【請求項27】 前記エネルギーソースが可視、UVまたはIR輻射を提供
    する、請求項24に記載の方法。
JP2001521471A 1999-09-08 2000-09-08 薄膜を成長させる、改良された装置および方法 Expired - Lifetime JP4994551B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/392,371 US6511539B1 (en) 1999-09-08 1999-09-08 Apparatus and method for growth of a thin film
US09/392,371 1999-09-08
PCT/US2000/024586 WO2001017692A1 (en) 1999-09-08 2000-09-08 Improved apparatus and method for growth of a thin film

Publications (3)

Publication Number Publication Date
JP2003508932A true JP2003508932A (ja) 2003-03-04
JP2003508932A5 JP2003508932A5 (ja) 2007-08-30
JP4994551B2 JP4994551B2 (ja) 2012-08-08

Family

ID=23550311

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001521471A Expired - Lifetime JP4994551B2 (ja) 1999-09-08 2000-09-08 薄膜を成長させる、改良された装置および方法

Country Status (6)

Country Link
US (4) US6511539B1 (ja)
EP (1) EP1216106B1 (ja)
JP (1) JP4994551B2 (ja)
KR (1) KR100853702B1 (ja)
TW (1) TW527224B (ja)
WO (1) WO2001017692A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008527748A (ja) * 2005-01-18 2008-07-24 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US9200364B2 (en) 2010-03-19 2015-12-01 Tokyo Electron Limited Film forming apparatus, film forming method, method for optimizing rotational speed, and storage medium
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination

Families Citing this family (683)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8877000B2 (en) * 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6627268B1 (en) * 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002339071A (ja) * 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US20060201428A1 (en) * 2001-07-19 2006-09-14 Park Young H Shower head and method of fabricating the same
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6893506B2 (en) * 2002-03-11 2005-05-17 Micron Technology, Inc. Atomic layer deposition apparatus and method
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
JP4695343B2 (ja) * 2002-04-11 2011-06-08 株式会社日立国際電気 縦型半導体製造装置
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
JP3594947B2 (ja) * 2002-09-19 2004-12-02 東京エレクトロン株式会社 絶縁膜の形成方法、半導体装置の製造方法、基板処理装置
KR100467357B1 (ko) * 2002-09-24 2005-01-24 삼성전자주식회사 모오스 트랜지스터 제조 방법
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7097782B2 (en) 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7022605B2 (en) 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US6972055B2 (en) 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
JP2007516599A (ja) * 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
ATE554196T1 (de) 2003-08-20 2012-05-15 Veeco Instr Inc Vertikal durchströmte drehscheibenreaktoren und behandlungsverfahren damit
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7018469B2 (en) * 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
DE102004040943B4 (de) * 2004-08-24 2008-07-31 Qimonda Ag Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens
US20060046719A1 (en) * 2004-08-30 2006-03-02 Holtschneider David J Method and apparatus for automatic connection of communication devices
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
WO2006106764A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路
KR100628995B1 (ko) 2005-03-30 2006-09-27 이선영 반도체 웨이퍼가공용 수소가스 자동처리시스템
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
TWI395335B (zh) * 2006-06-30 2013-05-01 Applied Materials Inc 奈米結晶的形成
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
WO2008016650A2 (en) * 2006-07-31 2008-02-07 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
DE102007037527B4 (de) * 2006-11-10 2013-05-08 Schott Ag Verfahren zum Beschichten von Gegenständen mit Wechselschichten
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
WO2009091384A1 (en) * 2008-01-18 2009-07-23 Chameleon Scientific Corporation Nanofilm protective and release matrices
US20110054633A1 (en) * 2008-01-18 2011-03-03 Nanosurface Technologies, Llc Nanofilm Protective and Release Matrices
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
DE102008056890A1 (de) * 2008-11-12 2010-05-20 Fleissner Gmbh Vorrichtung zum Einfärben von Warenbahnen
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
DE102009026249B4 (de) * 2009-07-24 2012-11-15 Q-Cells Se Plasma unterstütztes Abscheideverfahren, Halbleitervorrichtung und Abscheidevorrichtung
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US9410248B2 (en) * 2010-03-29 2016-08-09 Koolerheadz Modular gas injection device
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
WO2012060940A1 (en) 2010-11-04 2012-05-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US9303319B2 (en) 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP6488284B2 (ja) 2013-09-27 2019-03-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9236294B2 (en) * 2014-01-13 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
EP3100298B1 (en) 2014-01-27 2020-07-15 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9631276B2 (en) 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN107026100A (zh) * 2016-02-01 2017-08-08 中芯国际集成电路制造(上海)有限公司 半导体制造设备以及制造方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084842A1 (en) * 2020-09-11 2022-03-17 Applied Materials, Inc. Antifragile systems for semiconductor processing equipment using multiple special sensors and algorithms
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPH01179710A (ja) * 1988-01-08 1989-07-17 Nec Corp 絶縁薄膜の製造方法
JPH04349107A (ja) * 1991-05-24 1992-12-03 Hitachi Ltd 酸化物超電導薄膜の作製方法
JPH07109576A (ja) * 1993-10-07 1995-04-25 Shinko Seiki Co Ltd プラズマcvdによる成膜方法
JPH11238698A (ja) * 1997-12-31 1999-08-31 Samsung Electronics Co Ltd 原子層蒸着工程を用いた金属層形成方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JPH09270421A (ja) * 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) * 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6104074A (en) * 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPH01179710A (ja) * 1988-01-08 1989-07-17 Nec Corp 絶縁薄膜の製造方法
JPH04349107A (ja) * 1991-05-24 1992-12-03 Hitachi Ltd 酸化物超電導薄膜の作製方法
JPH07109576A (ja) * 1993-10-07 1995-04-25 Shinko Seiki Co Ltd プラズマcvdによる成膜方法
JPH11238698A (ja) * 1997-12-31 1999-08-31 Samsung Electronics Co Ltd 原子層蒸着工程を用いた金属層形成方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008527748A (ja) * 2005-01-18 2008-07-24 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US8211230B2 (en) 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
KR101332739B1 (ko) * 2005-01-18 2013-11-25 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US9359672B2 (en) 2005-01-18 2016-06-07 Asm America, Inc. Reaction system for growing a thin film
US10468291B2 (en) 2005-01-18 2019-11-05 Asm America, Inc. Reaction system for growing a thin film
US9200364B2 (en) 2010-03-19 2015-12-01 Tokyo Electron Limited Film forming apparatus, film forming method, method for optimizing rotational speed, and storage medium
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11626313B2 (en) 2017-11-03 2023-04-11 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination

Also Published As

Publication number Publication date
US20030101927A1 (en) 2003-06-05
US7141499B2 (en) 2006-11-28
EP1216106A4 (en) 2005-09-28
WO2001017692A9 (en) 2002-10-03
KR20020046282A (ko) 2002-06-20
KR100853702B1 (ko) 2008-08-25
US6764546B2 (en) 2004-07-20
US7431767B2 (en) 2008-10-07
US6511539B1 (en) 2003-01-28
US20030089308A1 (en) 2003-05-15
TW527224B (en) 2003-04-11
EP1216106B1 (en) 2014-05-21
EP1216106A1 (en) 2002-06-26
JP4994551B2 (ja) 2012-08-08
US20070089669A1 (en) 2007-04-26
WO2001017692A1 (en) 2001-03-15

Similar Documents

Publication Publication Date Title
JP4994551B2 (ja) 薄膜を成長させる、改良された装置および方法
US7361387B2 (en) Plasma enhanced pulsed layer deposition
US7629256B2 (en) In situ silicon and titanium nitride deposition
TW202142723A (zh) 使用預處理沉積氮化矽層之方法、使用該方法所形成之結構及用於進行該方法之系統
KR101238429B1 (ko) 나노층 증착 공정
US7569500B2 (en) ALD metal oxide deposition process using direct oxidation
US6305314B1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
EP1641031B1 (en) Deposition of TiN films in a batch reactor
US7488386B2 (en) Atomic layer deposition methods and chemical vapor deposition methods
US20140116470A1 (en) Method of decontamination of process chamber after in-situ chamber clean
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
US20030134038A1 (en) Method and apparatus for layer by layer deposition of thin films
KR20120028305A (ko) 기판상에 박막을 성장시키는 방법 및 장치
WO2004007795A1 (ja) 半導体処理用の成膜方法
JP4965260B2 (ja) シーケンシャル流量堆積を使用して金属層を堆積させる方法。
JP2006506811A (ja) 汎用金属送出源(gmds)を提供し、汎用金属送出源を原子層堆積(ald)と一体化する方法および装置
KR100375834B1 (ko) 리모트 플라즈마를 이용한 원자층 형성장치의 기체이송장치

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070703

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070703

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100203

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100826

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100902

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100930

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101007

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101101

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20110118

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20110119

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110322

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110622

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110629

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110721

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110728

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110822

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110829

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110920

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120417

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120509

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150518

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4994551

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term