TWI412063B - 薄膜成長的反應系統 - Google Patents

薄膜成長的反應系統 Download PDF

Info

Publication number
TWI412063B
TWI412063B TW095101879A TW95101879A TWI412063B TW I412063 B TWI412063 B TW I412063B TW 095101879 A TW095101879 A TW 095101879A TW 95101879 A TW95101879 A TW 95101879A TW I412063 B TWI412063 B TW I412063B
Authority
TW
Taiwan
Prior art keywords
deposition chamber
gas
ald
deposition
thin film
Prior art date
Application number
TW095101879A
Other languages
English (en)
Other versions
TW200701301A (en
Inventor
Mohith Verghese
Eric Shero
Darko Babic
Herbert Terhorst
Marko Peussa
Min Yan
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW200701301A publication Critical patent/TW200701301A/zh
Application granted granted Critical
Publication of TWI412063B publication Critical patent/TWI412063B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

薄膜成長的反應系統
本發明是關於用於化學製程之設備。特別是,本發明是關於在一反應室中薄膜成長之設備。
有數種在基底表面上沈積薄膜之汽相沈積方法。此等方法包含真空蒸發沈積、分子束外延(MBE)、不同變形之化學氣相沈積(CVD)(包含低壓和有機金屬CVD及電漿增強CVD)、及最近被稱為原子層沈積(ALD)的原子層外延(ALE)。
ALD是一種在半導體產業中習知之製程,其用於在諸如晶圓之基底上形成材料之薄膜。ALD是一類氣相沈積,其中藉由在循環中實施之自飽和反應形成一薄膜。薄膜之厚度是由執行之循環數量決定。在ALD製程中,向基底或晶圓交替且反覆地提供氣體前驅體,以在晶圓上形成材料之薄膜。在一自限制性製程中一反應物吸附在晶圓上。一後續反應物脈衝與吸附之材料反應,從而形成一所需要之材料之單一分子膜。可藉由與一適當選擇之試劑反應(諸如在一配體交換反應或一吸氣反應中)發生分解。在一典型之ALD反應中,每一循環形成不超過一分子單層。藉由反覆之成長循環產生更厚之薄膜,直至實現目標厚度。
在一ALD製程中,將一個或多個具有至少一待塗布表面之基底與用於形成所需要之產品之反應物引入反應器或沈積室中。一個或多個基底通常被放置在一晶圓支撐體或基座上。晶圓支撐體位於反應器內部所界定之一腔室內部。將晶圓加熱至所需要之溫度,其中溫度高於反應物氣體之冷凝溫度並低於反應物氣體之熱分解溫度。
ALD之性能特徵為在一脈衝中每一反應物被傳輸至基底,直至達到一飽和表面條件。如上述,一反應物通常吸附在基底表面上,且第二反應物隨後與被吸附之物質反應。由於成長速度為自限制性的,成長速度與反應序列之重複率成正比,而並非如CVD中那樣與溫度或反應物流成正比。
為獲得自限制性成長,藉由在連續反應物脈衝之間清洗或其他除去工序使氣相反應物保持分離。由於在清洗工序期間不出現所需要材料之成長,因此限制清洗工序之持續時間是有利的。更短持續時間之清洗工序可以增加反應器內部之反應物的吸附與反應之有效時間,但由於反應物通常相互反應,應避免氣相反應物之混合,以降低破壞沈積的自限制性本質之CVD反應之風險。即使在反應室之正上游或下游之共用管線上混合,也可藉由寄生CVD及隨後之顆粒生成污染製程。
為了防止氣相反應物被混合,ALD反應器可以在供給管之一部分中包括一「惰性氣體閥控」裝置或一「擴散壁壘」裝置’以便在清洗工序中阻止反應物從反應物源到反應室之流動。惰性氣體閥控包括形成氣相,對供給管中正常反應物流相反方向上的氣流進行對流阻擋,參閱T.Suntola,Handbook of Crystal Growth III,Thin Films and Epitaxy,Part B:Growth Mechanisms and Dynamics ,ch..14,Atomic Layer Epitaxy ,D.T.J.Hurle編,Elsevier Science V.B.(1994),第601~663頁,其所揭示之內容以引用之方式併入本文中。尤其參閱第624~626頁。儘管此先前技術裝置已經成功地防止氣相反應物之混合,但仍有改良之空間。特別地,試驗研究已經表明,在反應器腔室內仍有難以清洗的盲區及/或再循環單元。因此,在隨後的反應物脈衝期間,一部分先前之反應物脈衝可能殘留在反應室內。此種情形可不利地導致在反應室內部及在基底本身上之CVD成長。在反應室內部之CVD成長可以不利地導致增加的微粒發射。
因此需要一種改良之反應器設計,此種設計更易於清洗和消除、或顯著減少其中可以在清洗工序之後殘留反應物之盲區。
因此,本發明之一具體實施例包括一原子沈積(ALD)薄膜沈積設備,此裝置包括一沈積室,此沈積室適於在固定於其內部所界定之一空間內的晶圓上沈積一薄膜。沈積室包括一與該空間相連的進氣口。一氣體系統被配置用於將氣體傳輸至沈積室的進氣口。氣體系統之至少一部分位於沈積室的上方。氣體系統包括一被配置用於混合多種氣體流之混合器。一傳輸部件與混合器及進氣口流體連通。傳輸部件包括一對被配置用於在進入進氣口之前在水平方向上散播氣體之水平擴散壁。
本發明之另一具體實施例包括一原子層沈積(ALD)薄膜沈積設備,此裝置包括一沈積室,沈積室適於在固定於其內部所界定之一空間內的晶圓上沈積一薄膜。沈積室包含一與該空間相連的進氣口。沈積室進而包括一包含一密封面之密封部分。在該空間內部配置一基座以支撐晶圓。基座被配置成相對於沈積室在第一位置(其中基座緊靠密封面密封)與第二較低位置(其中基座不再緊靠密封面密封)之間垂直移動。在第一位置中,在密封面與基座之間之界面與位於基座上之晶圓之間之垂直距離小於約2 mm。
本發明之另一具體實施例包括一用於處理半導體基底之基底支撐體。基底支撐體包括一具有一凹部之上表面。凹部被配置成使得基底支撐體的上表面僅僅沿基底的邊緣部分接觸基底。
本發明之另一具體實施例包括一原子層沈積(ALD)薄膜沈積設備,此裝置包含一沈積室,沈積適於在固定於其內部所界定之一空間內的一晶圓上沈積一薄膜。沈積室包括一與該空間相連之進氣口。沈積室進而包括一包含一密封面之密封部分。在該空間內部配置一基座以支撐晶圓。基座被配置成相對於沈積室在第一位置(其中基座緊靠密封面密封)與第二較低位置(其中基座不再緊靠密封面密封)之間垂直移動。基座被配置成使得當晶圓被定位於第一位置中基座之上時,與晶圓之後緣相比,相對於氣流晶圓的前緣被定位得更遠離密封面。
為讓本發明之上述和其他目的、特徵和優點能更明顯易懂,下文特舉較佳實施例,並配合所附圖式,作詳細說明如下。
圖1A是一ALD裝置100之一具體實施例之示意圖。ALD裝置100包括一頂部部件110、一底部部件112及一前部部件118,其共同形成該ALD裝置100之外罩之一部分。在圖1A所示之具體實施例中,一上加熱器114延伸貫穿頂部部件110。上加熱器114被配置用於保持ALD裝置100之上部部分中的溫度。類似地,一下加熱器116延伸貫穿底部部件112。下加熱器被配置用於保持ALD裝置100之下部部分中的溫度。
用作一門閥之ALD裝置100之前部部件118覆蓋一開口120。在圖1A中,一虛線標出了開口120。一旦將前部部件118移除,開口120可接收一藉由ALD裝置100處理之晶圓。以此種方式,所接收之晶圓被置於ALD裝置100內部之一沈積室中。一旦完成處理,可經由相同的開口120將晶圓從沈積室中除去。
一ALD控制系統(未示出)被配置用於在晶圓的處理期間控制ALD裝置100。例如,ALD控制系統可以包含一電腦控制系統及電控閥以控制反應物及緩衝氣體流入及流出ALD裝置100。ALD控制系統可以包含諸如軟體或硬體元件之模組,如執行特定任務之場可程式閘陣列(FPGA)或特殊應用積體電路(ASIC)。一模組可有利地被配置在電腦控制系統之可定址存儲媒體上,並被配置成在一個或多個處理器上執行。
圖1B是顯示底部部件112之ALD裝置100之示意圖。ALD裝置100更包括一組耦合部102(a)、102(b)、104(a)~(d)。在該示例性結構中,ALD裝置100包含四個單獨的反應物蒸汽源。此等反應物蒸汽源中之兩者經由耦合部102(a)、102(b)與該ALD裝置100連接。此等氣體源可被加壓或未被加壓。此等蒸汽源可以為例如固體昇華容器、液體起泡器或氣體炸彈。第三及第四反應物蒸汽源經由耦合部104(b)、104(c)與ALD裝置100連接。
在一具體實施例中,每一反應物蒸汽源具有一伴隨之惰性氣體源,此惰性氣體源可用於在脈衝反應物之後清洗反應物蒸汽管線。例如,伴隨與耦合部102(a)及102(b)連接之反應物蒸汽源之惰性氣體源可分別與耦合部104(a)及104(d)連接。伴隨與耦合部104(b)及104(c)連接之反應物蒸汽源之惰性氣體源亦可分別與耦合部104(b)及104(c)連接。此等惰性氣體源可被加壓或未被加壓。此等惰性氣體源可以為諸如貴重(noble)氣體源或氮氣源。ALD控制系統(未示出)控制一個或多個閥以選擇性地允許或阻止各種氣體到達ALD裝置100。
ALD裝置100可被配置用於當將晶圓插入該沈積室中時在晶圓上沈積一薄膜。通常,ALD裝置100可經由耦合部102(a)、102(b)之一或耦合部104(b)、104(c)之一接收第一反應物氣體。ALD裝置100還可以經由該等耦合部104(a)-104(d)接收惰性氣體。在一具體實施例中,惰性氣體與第一反應物氣體一起進入沈積室以便在晶圓上吸收不超過一單層之第一反應物。藉由開關適宜之閥(未示出),較佳地經由一惰性氣體閥(IGV)裝置停止第一反應物氣體流,然後使用來自耦合部104(a)、104(b)、104(c)、及104(d)之惰性氣體沖洗沈積室及氣體管線。在清洗完沈積室及氣體管線之後,使用一種或多種其他反應物氣體繼續沈積循環。在一具體實施例中,來自交替脈衝之反應物在基底上或晶圓表面上彼此反應,從而在每一循環中形成不超過一單層之所需要之產品。應暸解,實際ALD操作之變化可在犧牲均勻性之條件下提高每一循環之沈積速度超過一單層。
在ALD裝置100之具體實施例中,在每一循環中,不超過兩種反應物氣體可連續地流經(藉由清洗週期分隔)ALD裝置100,以便在晶圓上形成化合物材料。在沈積室中吸收或反應之後,可隨後經由氣體排出口106(圖1B)將過量之各反應物氣體排出。氣體排出口106可與一真空泵連接以協助將氣體從沈積室除去,並在沈積室中提供低壓條件。此外,可以藉由將底部部件112上之任意其他耦合部與一真空泵連接,將整個ALD裝置100抽氣至一低壓。
圖2是沿圖1A的線2-2之ALD裝置100之剖視圖。在ALD裝置100內部具有一氣體分配系統202(在圖4中有更具體的顯示)及一沈積室200,沈積室是由一頂板或蓋板314、底板或基板206、基座或晶圓支撐體204及排出流槽316形成的。位於氣體分配系統202及沈積室200之上下側面為一個或多個反射板208、210。ALD裝置100進而包括一晶圓支撐體204、一晶圓支撐體加熱器216及一熱開關218。
晶圓支撐體204位於ALD裝置內部,且被配置成用於在沈積製程期間支撐一基底或晶圓。可將晶圓支撐體204調整至在沈積室200內部旋轉。晶圓支撐體加熱器216可被配置成用於加熱晶圓支撐體204。可以在頂部部件110上提供熱開關218。熱開關218可被配置成用於監測頂部部件110之溫度。應瞭解,系統100包含其他溫度感測器及控制機構,以便保持系統之不同表面於所需要之溫度下。
所說明之具體實施例包含上反射板208,其在氣體分配系統202之上部部分與頂部部件110之間提供一熱障。類似地,下反射板210在沈積室200之下部部分與底部部件112之間提供一熱障。該等反射板208及210還可被用於在一低壓環境下輻射加熱沈積室。如圖2所示,上加熱器114與延伸貫穿上反射板208之盤管212耦合。盤管212被配置成用於藉由輻射來提供熱量至氣體分配系統202之上部部分。類似地,下加熱器116與延伸貫穿下反射板210之盤管214耦合,並加熱沈積室200之下部部分。或者,可以使用其他的加熱系統。
氣體分配系統202被配置成用於使經由該等耦合部102(a)、102(b)、104(b)、104(c)進入之反應物氣體及經由耦合部104(a)-(d)進入之惰性氣體通過ALD裝置100(參閱圖1B)。氣體分配系統202進而被配置成用於在一給定之脈衝期間將經由耦合部104(a)-(d)進入之惰性氣體之一種或多種與經由耦合部102(a)、102(b)、104(b)、104(c)進入之反應物氣體之一種選擇性地混合。所得到之混合物進入沈積室200。在每一脈衝之後,氣體分配系統202將任何未反應之反應物及惰性氣體經由氣體排出口106從沈積室排出(例如藉由清洗)。術語耦合部被用於描述在一個或多個氣體管線之間之氣體流連接。此處所顯示之耦合部之位置僅僅用於說明的目的,並且可位於沿一氣體管線之不同位置。此外,與一給定耦合部相關聯之氣體管線可被配置成用於將氣體流入或流出氣體分配系統202。如下所示,此處所描述之示例性具體實施例中之各種耦合部被用於將氣體流入或流出氣體分配系統202。然而,本發明並不限於此處所揭示之示例性具體實施例。
反應物氣體循環通過ALD裝置100之順序端視所需要之產品而定。為了在每一氣體進入沈積室200之前將一種或多種反應物氣體之間的相互作用最小化,在反應物氣體脈衝之間,經由耦合部104(a)-(d)進入之惰性氣體被週期性地循環或連續地流經ALD裝置100。以此種方式,惰性氣體清洗沈積室200。如下文所述,可以將各種反應物氣體及惰性氣體系統地循環經過ALD裝置100,以便在通過開口120插入之晶圓上形成一沈積。
圖3是圖1A之ALD裝置100之沈積室200及氣體分配系統202之示意圖。氣體分配系統202包括多個氣體管線、一混合器總成304、一傳輸管310、及一入口充氣部或歧管312。沈積室200包含一蓋板314、一底板206及一排氣流槽316。氣體分配系統202在入口充氣部312處與沈積室200連接。
如圖4最佳所示,在該實例中,多個氣體管線包含四個反應物管線300、303、309、315及八個緩衝管線301、302、305、307、311、313、317及319。每一反應物管線與兩個緩衝管線相連。反應物管線300與緩衝管線301、302連接。反應物管線303與緩衝管線305、307連接。反應物管線307與緩衝管線311、313連接。反應物管線315與緩衝管線317、319連接。端視ALD裝置100之結構,氣體分配系統202可包含更多或更少反應物管線及緩衝管線。此外,每一反應物管線可與兩條緩衝管線連接,或不與之連接。例如,一個或多個反應物管線可與該等緩衝管線連接,而另一反應物管線則不與之連接。可藉由其他方式切斷未與緩衝管線連接之反應物管線。
每一反應物氣體管線在該氣體分配系統202內部包含四個耦合部。反應物氣體管線300包括耦合部300(a)、300(b)、300(c)及300(d)。反應物氣體管線303包括耦合部303(a)、303(b)、303(c)及303(d)。反應物氣體管線309包括耦合部309(a)、309(b)、309(c)及309(d)。反應物氣體管線315包括耦合部315(a)、315(b)、315(c)及315(d)。每一反應物氣體管線之耦合部如下所述。
耦合部300(a)將反應物氣體管線300與通向反應物源之耦合部102(b)耦合(參閱圖1B)。耦合部300(b)將反應物氣體管線300與緩衝管線302耦合。耦合部300(c)將反應物氣體管線300與緩衝管線301耦合。耦合部300(d)將反應物氣體管線300與混合器總成304耦合。
耦合部303(a)將反應物氣體管線303與通向另一反應物源之耦合部104(b)耦合(參閱圖1B)。耦合部303(b)將反應物氣體管線303與緩衝管線307耦合。耦合部303(c)將反應物氣體管線303與緩衝管線305耦合。耦合部303(d)將反應物氣體管線303與混合器總成304耦合。
耦合部309(a)將反應物氣體管線309與通向另一反應物源之耦合部104(c)耦合(參閱圖1B)。耦合部309(b)將反應物氣體管線309與緩衝管線313耦合。耦合部309(c)將反應物氣體管線309與緩衝管線311耦合。耦合部309(d)將反應物氣體管線309與混合器總成304耦合。
耦合部315(a)將反應物氣體管線315與通向又一反應物源之耦合部102(b)耦合(參閱圖1B)。耦合部315(b)將反應物氣體管線315與緩衝管線319耦合。耦合部315(c)將反應物氣體管線315與緩衝管線317耦合。耦合部315(d)將反應物氣體管線315與混合器總成304耦合。
緩衝管線301、302、305、307、311、313、317及319分別包含耦合部301(a)、302(a)、305(a)、307(a)、311(a)、313(a)、317(a)及319(a)。
在圖3及4所示之具體實施例中,每一耦合部301(a)、305(a)、311(a)及317(a)提供進入氣體分配系統202之流動路徑。耦合部301(a)將緩衝管線301與耦合部104(a)耦合(參閱圖1B)。耦合部305(a)將緩衝管線305與耦合部104(b)耦合(參閱圖1B)。耦合部311(a)將緩衝管線311與耦合部104(c)耦合(參閱圖1B)。耦合部317(a)將緩衝管線317與耦合部104(d)耦合(參閱圖1B)。
每一耦合部302(a)、307(a)、313(a)及319(a)在氣體分配系統202與排氣流槽316之間經由連接器320(a)-(d)提供一流動路徑。連接器320(a)將耦合部302(a)與排氣流槽316連接。連接器320(b)將耦合部307(a)與排氣流槽316連接。連接器320(c)將耦合部313(a)與排氣流槽316連接。連接器320(d)將耦合部319(a)與排氣流槽316連接。此等連接件有助於惰性氣體閥(IGV)的操作。
在圖3所示的具體實施例中,反應物氣體管線300、303、309及315將反應物氣體導入混合器總成304中。緩衝管線301、305、311及317將惰性氣體導入混合器總成304中。所得到之混合物(每次一反應物與一惰性氣體)流經傳輸管310到達一入口充氣部312。入口充氣部312經由傳輸管310相對流動路徑沿一橫向方向分配混合物。混合物從入口充氣部312排出並經蓋板314進入沈積室200。如圖2及3所示,蓋板314與底板206相鄰,且兩板在其間形成一流動路徑用於使混合物流過位於晶圓支撐體204上之基底或晶圓。底板206及蓋板314具有大體上長方形的外周邊。
當通過沈積室200時,混合物脈衝充滿基底之表面。在當前混合物與先前脈衝所遺留之基底表面之間可能發生吸附或反應。在通過沈積室200之後,混合物流向排氣流槽316。在混合物已經充滿晶圓之後排氣流槽316被配置成用於收集過量的混合物及副產物。在一具體實施例中,排氣流槽316內部之一區域之壓力低於沈積室200內部之壓力。一負壓源或真空可與排氣流槽316及/或氣體排出口106連通以便將混合物從沈積室200內抽出。排氣流槽316與氣體排出口106連通。所收集之混合物經由氣體排出口106從沈積室200中排出。
再次參閱圖3,混合器總成304包含一上游部件306及一下游部件308。上游部件306與該等反應物氣體管線及該等緩衝管線連通。上游部件306被配置成用於在混合物進入下游部件308之前將反應物氣體與惰性氣體混合。下游部件308在上游部件306與傳輸管310之間彙集混合物。下游部件308通常被配置成用於藉由持續減小用於混合物之流動路徑之橫截面積以便將混合物在下游部件308內部再循環之趨勢最小化。
圖4是與緩衝管線及混合器總成之上游部件306耦合之反應物氣體管線之頂部平面視圖。在耦合部300(c)和300(b)之間,在反應物氣體管線300中形成一緩衝區域400(a)。在耦合部303(c)和303(b)之間,在反應物氣體管線303中形成一緩衝區域400(b)。在耦合部309(c)和309(b)之間,在反應物氣體管線309中形成一緩衝區域400(c)。在耦合部315(c)和315(b)之間,在反應物氣體管線315中形成一緩衝區域400(d)。形成進入氣體分配系統202的流動路徑之該等緩衝管線301、305、311及317與耦合部300(b)、303(b)、309(b)及315(b)下游其關聯氣體管線耦合。以此種方式,經由耦合部301(a)、305(a)、311(a)及317(a)進入之氣體進入與緩衝管線302、307、311及319耦合之反應物管線下游之反應物管線300、303、309、315。固定節流孔可被設置在耦合部302(a)、307(a)、313(a)及319(a)上。
如圖3所示,耦合部302(a)、307(a)、313(a)及319(a)與排氣流槽316連通。該等節流孔為氣體創造了一更高的阻力通道,以便流向排氣流槽316並繞過沈積室200。以此種方式,在一反應物氣體之脈衝期間,經由耦合部300(a)、303(a)、309(a)或315(a)進入之反應物氣體的一小部分繞過該沈積室並直接流入排氣流槽316。由節流孔所產生之約束限制了旁路反應物的量。在清洗工序期間,經由耦合部301(a)、305(a)、311(a)及317(a)進入之惰性氣體之至少一部分形成一朝向耦合部300(b)、303(b)、309(b)及315(b)之逆流,從而在反應物氣體管線內部形成緩衝區域400(a)~(d)。該等緩衝區域使得反應物氣體在清洗工序期間或在一反應物之反應物流從其他反應物管線之一流入混合器總成304期間不會擴散進入反應器中。
例如,在一ALD處理工序中,反應物氣體流經反應物管線300並流向混合器總成之上游部件306。少量之反應物氣體被轉移至緩衝管線302中,並經由耦合部302(a)流出進入排氣流槽316。被轉移到緩衝管線之氣體的量端視耦合部302(a)處的固定節流孔之尺寸而定。可改變固定節流孔之尺寸將氣體或多或少轉移到排氣流槽316中。所殘留之反應物氣體經過緩衝區域400(a)到達耦合部300(c)。
可以經由耦合部301(a)將惰性氣體引入(或者不引入)以便將反應物氣體推入上游部件306。若經由耦合部301(a)將惰性氣體引入,惰性氣體在耦合部300(c)處與反應物氣體混合並流向上游部件306。在脈衝工序之後,反應物氣體被從氣體管線中清除出去。可藉由諸如如下方法完成氣體管線之清洗:關閉來自耦合部300(a)之反應物氣體流及/或使用惰性氣體來阻止任何殘留之反應物氣體擴散進入上游部件306。截流閥可位於加熱區域之外部,並可被用於切斷反應物氣體流。可在一通常如美國專利申請案第2001/0054377號(出版日2001年12月27日)所述惰性氣體閥(IGV)製程中經由耦合部301(a)將惰性氣體引入,該申請所揭示之內容以引用之方式併入本文中。
惰性氣體流的第一部分流入該緩衝區域400(a)並向上游流動或向下流向耦合部300(b)。氣體流之第二部分向下游流向上游部件306。第一部分在緩衝區域400(a)的尾部從反應物管線300排出並進入緩衝管線302。當第一部分流過緩衝區域400(a)時,位於耦合部300(a)上游之截流閥與耦合部300(b)之間之殘餘反應物氣體被阻塞而不能流入或擴散到上游部件306,且不會使得物理閥(在遠距離處)受到由於高溫所引起之磨損。第一部分形成一緩衝或擴散屏障(或惰性氣體閥),所述之屏障阻止反應物氣體流通過反應物管線300進入混合器總成304中。藉由循環耦合部300(a)上游之截流閥,ALD控制系統能夠在將惰性氣體流入與不流入緩衝管線301中之間實施控制。以此種方式,ALD控制系統能夠迅速地控制,而無論經由耦合部300(a)進入反應物管線300之反應物氣體是否到達上游部件306。進而,在清洗工序期間及在其他反應物氣體之隨後的脈衝期間,可以保持在耦合部300(a)上游之截流閥與耦合部300(b)之間定位的「盲區」中之反應物氣體不會擴散進入上游部件306中。對於ALD此種情形可能是有利的,因為不同的反應物氣體被保持分開,並且僅僅在基底之表面上反應,而並非在氣相中反應。
無論經由耦合部303(a)進入氣體分配系統202之反應物氣體是否到達上游部件306,其都藉由將氣體流過緩衝管線305並在耦合部303(c)處進入反應物管線303且使用耦合部303(a)上游之截流閥以實施類似之控制。在耦合部303(c)處進入反應物管線之氣體之第一部分形成緩衝400(b)。以此種方式,氣體之第一部分阻止了經由反應物管線303進入之反應物氣體進入上游部件306。在耦合部303(c)處進入反應物管線之氣體之第二部分從緩衝區域400(b)流走並流向上游部件306。
無論經由耦合部309(a)進入氣體分配系統202之反應物氣體是否到達上游部件306,其都藉由將氣體流過緩衝管線311並在耦合部309(c)處進入反應物管線309且使用耦合部309(a)上游之截流閥以實施類似之控制。在耦合部309(c)處進入反應物管線之氣體之第一部分形成緩衝400(c)。以此種方式,氣體之第一部分阻止了經由反應物管線309進入之反應物氣體進入上游部件306。在耦合部309(c)處進入反應物管線之氣體之第二部分從緩衝區域400(c)流走並流向上游部件306。
無論經由該耦合部315(a)進入該氣體分配系統202之反應物氣體是否到達上游部件306,其都藉由將氣體流過緩衝管線317並在耦合部315(c)處進入反應物管線315且使用耦合部315(a)上游之一截流閥以實施類似之控制。在耦合部315(c)處進入反應物管線之氣體之第一部分形成緩衝400(d)。以此種方式,氣體之第一部分阻止了經由反應物管線315進入之反應物氣體進入上游部件306。在耦合部315(c)處進入反應物管線之氣體之第二部分從緩衝區域400(d)流走並流向上游部件306。
如上所述,經由緩衝管線301、305、311及317進入氣體分配系統202並形成該等緩衝區域400(a)-(d)之氣體之第一部分經由緩衝管線302、307、313及319排出。經由緩衝管線302、307、313及319排出之氣體進入排氣流槽316,而無需通過該沈積室200。以此種方式,等惰性氣體之第一部分繞過沈積室200並藉由沈積室200下游之排氣流槽316來收集。
如上所述,經由緩衝管線301、305、311及317進入氣體分配系統202之每一氣體之第二部分從緩衝區域400(a)-(d)流走且進入混合器總成304。在反應物脈衝期間,第二部分與一種或多種來自其他反應物管線的反應物氣體混合,所述之其他反應物管線與該混合器總成304相連。因此,該等第二部分流過該沈積室200。端視當前之ALD處理工序,氣體可週期性地流過其各自之緩衝管線301、305、311及317。
ALD控制系統希望其到達該沈積室200之反應物氣體流過其各自之反應物管線並進入該混合器總成304。ALD控制系統在該等反應物管線中形成緩衝區域400,在反應物管線中帶有其中ALD控制系統不希望到達沈積室200之反應物氣體。流經不具有一緩衝區域400之反應物管線之反應物氣體與一種或多種同時流經另一反應物管線且進入混合器總成304之惰性氣體之第二部分混合。如上所述,此等氣體之第一部分在另一反應物管線中形成緩衝區並繞過沈積室200。
在一包括四個反應物氣體管線之ALD裝置之具體實施例中,每一反應物氣體交替到達混合器總成304。在該具體實施例中,藉由ALD控制系統所選擇之反應物氣體流入該混合器總成304,而惰性或「緩衝」氣體流入剩餘之三個反應物管線中。繼續該具體實施例,從該等緩衝區域流走之氣體之第二部分進入該混合器總成304中。然後所感興趣之脈衝之反應物氣體在混合器總成304中與第二部分之惰性氣體混合。
ALD裝置100之圖示說明之具體實施例之另外形態及特徵可見於2004年5月7日申請之美國專利申請案第10/841585號中,該申請案之全文以引用之方式併入本文中。
圖5是上述傳輸管310、充氣部312、頂板314及底板206之具體實施例之剖視圖。該圖特別顯示了從混合器總成304到沈積室200之氣體通道。如圖5所示,一墊片500可定位於充氣部312與頂板314之間。墊片500可具有一系列小的噴射孔501,提供此等噴射孔用於在充氣部312中形成足夠的背壓以便在沈積室200上提供等速流。然而,如圖5所示,該設計可以在沈積室200與傳輸管310之間形成數目衆多的再循環單元502。在此等再循環單元502內部,可收集來自後續脈衝之反應物。此情況可在沈積室200內部導致CVD沈積。此種CVD沈積通常是不需要的且可在沈積室200內部導致粒子聚集。此外,墊片500可產生氣體流之一急速收縮並接著膨脹。此種情形可以導致氣體之溫度迅速降低,此種溫度迅速降低導致在氣體流中前體之凝結。
圖6-9A說明一傳輸部件510與頂(蓋)板514之一具體實施例。該具體實施例試圖藉由消除氣體流之膨脹及收縮以減少或消除氣體通道中之再循環單元。圖6及7分別為傳輸部件510及頂板514之頂部示意圖與平面視圖。圖8是移除了傳輸部件510之頂板514之頂部平面視圖。圖9是沿圖7之線9-9之剖視圖,且圖9A是圖9之部分之放大視圖。
如圖所示,傳輸部件510形成一通常三角形流動路徑,其對來自混合器304之氣體提供逐漸之膨脹。如圖8-9最佳所示,在該圖示說明之具體實施例中之傳輸部件510包含一通常與混合器304相鄰之第一部分518及通常與頂板514中之一開口522相鄰之第二部分520。如圖7及8所示,第一部分518包含一對沿水平方向以角度A展開之水平分叉之壁519,而第二部分520包括一對沿水平方向以角度B展開之水平分叉壁521。在一具體實施例中,角度B大於角度A。在一具體實施例中,A為大約5度至45度,且B為大約30度至75度。在該圖示說明之具體實施例中,該等水平分叉壁大體上為筆直的。然而,在一修正之具體實施例中,該等水平分叉壁可為彎曲的、弧形的、連續變化的及/或分段的。在此一具體實施例中,該等分叉壁可以具有在上述範圍內之平均或中值分叉角。
如圖9所示,傳輸部件510包含一頂壁523,頂壁523部分地界定了一氣體通道511之高度,氣體通道511是由該等壁519、521、頂壁523及頂板514之頂表面525界定。在一具體實施例中,在第一部分518中,氣體通道511之高度h1較佳地大體上是恆定的。在第二部分520中,氣體通道511之高度h2沿氣流方向逐步減小。在此種方式中,與圖5之充氣部312相比,可以減小與開口522相鄰之第二部分520之體積。此外,由於傳輸部件510沿水平方向擴張,氣體通道之高度被減小以消除氣體流之膨脹並增加背壓,此背壓有助於沿腔室寬度散播氣體流。在圖示說明之具體實施例中,由通道211所界定之氣體通道通常是平行的,且與沈積室200中之氣體通道相反(參閱例如圖11)。
該圖示說明之具體實施例之另一優點為在傳輸部件510與頂板514之頂表面525之間形成氣體通道511。與諸如一管道相比,該「抓斗」結構使得更容易清潔及重新磨光該傳輸部件511。具體來說,當從頂板514移除時,形成一大開孔,所述之大開孔將傳輸部件511之內表面暴露以便於清潔與重新磨光。
現參閱圖8、9與9A,頂板514帶有一開口522以從傳輸部件510接收氣體。在一具體實施例中,開口522之橫截面積大體上等於第二部分520之末端之橫截面積(相對於氣流)。以此種方式,促進了從傳輸部件510進入頂板514之平穩的氣流。開口522可具有一通常延長之長方形。參閱圖8。
如圖9A所示,從開口522來看,頂板514包含一與一狹窄區域526相連之逐漸縮小部分524。收縮部分524包含一錐形或傾斜之壁525,其逐漸減小氣體流之橫截面積。在該圖示說明之具體實施例中,狹窄區域526包括一通常為長方形的具有大體上恆定之橫截面積的狹縫,該狹縫在一通常垂直之方向上向下延伸通過該頂板514。狹窄區域526為在該混合器304與該沈積室200之間帶有最小橫截面積(相對於氣流)之氣體流的部分。狹窄區域526被配置成用於形成足夠之背壓以提供等速流,尤其沿沈積室200之寬度w (參閱圖8)。狹窄區域526之末端與一膨脹部分528連通。膨脹部分528包含減速的或錐形壁529,該壁被配置成用於提高氣體流之橫截面積,以便當氣體進入沈積室200時其逐漸膨脹。膨脹部分528之出口530與沈積室200連通。
有利地,與參考圖5所述之墊片500中的各個孔穴(一大體上二維的通道)相比,該狹窄區域526在沈積室200(參閱圖8)中沿水平及垂直方向延伸(一三維通道)。例如,與單獨的孔穴相比,在x-平面中(即孔穴之間)與在z-方向中(即孔穴下方)的再循環單元與盲區被消除或減少了。有利地,傳輸部件510、充氣部512及頂板514之布置還將氣體從混合器304中取出並使其在沈積室200的一部分上延展。然後當氣體流流入沈積室200中時,將其轉動180度。
在沈積室200內部,亦可形成死體積及/或再循環單元。例如,圖10是圖1-4之沈積室200之基底S及基座板204之示意圖。如圖所示,在該基底S與該基座板204之間存在一間隙g2 ,且在該基座板204與該底板206之間存在一間隙g1 。在某種情況下,此等間隙g1g2 可能難以被清除且可能藏有再循環單元及/或死體積。
圖11是沿一類似於圖7之線9-9之線截取之沈積室200之底板600與基座602之修正具體實施例的部分剖視圖。圖12是基座602及底板600之部分示意圖。如圖所示,在此等具體實施例中,底板600具有一厚度為t之密封部分604。密封部分604之下表面605緊靠著基座602密封以密封反應室。在一具體實施例中,密封部分604末端606具有一厚度t,厚度t近似等於定位於基座602上的基底的厚度。端視基底之厚度,密封部分604可具有一大約0.5至大約3 mm範圍之厚度。以此種方式,當氣體在底板600上流向基底時,氣體僅僅被暴露於一淺臺階,該臺階之一深度近似等於基底之厚度。此情況減小了再循環區的尺寸或者消除了再循環區,並有助於清潔沈積室200。
圖11與12所示之底板600與基座602結構之另一優點在於:與圖10的結構相比,在底板600與基座602之間的密封或接觸表面得到提高。例如,在該圖示說明之具體實施例中,密封部分604之下表面605與基底定位於大體上相同的垂直高度上。在一具體實施例中,下表面605與基底之間的高度差為大約0至大約2mm。該裝置有利地減少了基底與底板604之間的盲區的體積,並且防止或減少了在沈積室200中再循環單元之形成。
圖13與14更詳細地說明基座602。圖13是相對於圖11及12所示之方向旋轉了180度的基座602之頂部示意圖。圖14是在上面定位有一基底的基座602的剖視圖。
在該具體實施例中,基座602被配置成使得基底S可相對於沈積室200定位於偏離中心的位置。以此種方式,在基底與基座602與底板600之間的界面之間間隙g3可以被移位到更遠離基底S之前緣(相對於氣流)。通常,與靠近沈積室200之出口(排氣口)定位之基底的後緣相比,基底的前緣靠近進入沈積室200之入口定位。
在另一具體實施例中,基底可位於基座的中心(或大體上位於其中心)。在此一具體實施例中,基座可為特大的以增加基座602與底板600之間的界面與基底之邊緣之間之間距。在一具體實施例中,基座602之一直徑比基底之直徑大至少大約10%。在另一具體實施例中,基座之直徑比基底之直徑大至少大約25%。在另一具體實施例中,基座之直徑比基底之直徑大大約10%與大約25%之間。此類具體實施例還保證了基底之前緣與基座與密封面之間的界面之間更多之空間。上述特大的基座還可以被單獨使用或者與本段中所述之偏移特徵結合使用,以便在基底之前緣與基座與密封面之間的界面之間提供更大之空間。
有利地,對於等效寬度及/或尺寸之基座,可以增加基底之前緣與基座602與底板600之間界面之間之間隙g3。以此種方式,任何由於在基座602與底板600之間的不連續所引起的再循環單元可被移位到更遠離基底S之前緣。因此,在一具體實施例中,定位於基座602上的基底之中心相對基座602與底板600之間的界面或密封面被不對稱地及/或偏心定位。在一修正之具體實施例中,基座可以具有一非圓形或非對稱形狀以便使得基底之前緣更遠離基座602與底板600之間的不連續。
如圖11所示,基座602可包含多個銷609,該等銷從基座602之頂表面延伸出來以約束或限制基座上的基底之運動。該等銷609可以替換有時用於約束或限制基底之運動的肩或脊(參閱例如圖10中產生間隙g2 的肩)。此類肩或脊可不利地形成再循環及/或盲區。因此,在一具體實施例中,在密封面與基座之間的界面之間的基座面積基本上為平坦的,且不包含此類肩或脊。此一裝置可消除或再循環及/或盲區。
繼續參閱圖13與圖14,基座可包含一凹陷區域610,凹陷區域被配置成使得基底僅僅(或大體上僅僅)在其邊緣上接觸(參閱圖14)。該具體實施例有助於減少晶圓曲度及/或基座起拱以免引起麻煩。特別地,晶圓曲度及/或起拱可以導致在基底之邊緣與基座之間形成一間隙。氣體可以陷入該間隙中,使得清洗無效並導致後部沈積。如圖14所示藉由沿其邊緣接觸基底,晶圓曲度及/或起拱將不會導致在基底S之邊緣與基座602之間形成一間隙。此情況消除或減少了氣體陷入基底與基座之間之傾向。在一具體實施例中,凹陷區域610之深度為大約0.2至0.5 mm之間。在另一具體實施例中,基底S與基座602被配置成使得沿基底S之邊緣形成一連續的或大體上連續之密封。
繼續參閱圖13,凹部610可具有一通常圓形形狀,從而使得在基座602與該基底之間的密封亦通常為圓形的。此外,如圖所示,凹部610之中心c可關於通常為圓形之基座602之外緣「中心偏離」定位。以此種方式,與如上所述之後緣相比,基底之前緣(相對於氣流)可遠離底板600之密封部分604。此情況允許晶圓被放置在晶圓前面更加遠離該等再循環單元。由於氣體在一錯流反應器中席捲晶圓,在基座與底板之間的後部密封上的再循環單元不會同樣地影響沈積之均勻性。
圖15說明一邊緣接觸提昇銷620之具體實施例之部分剖視圖,其中邊緣接觸提昇銷620可以與上述基座602結合使用。如圖所示,該銷620可包含一銷頭622,銷頭包含一切口624或斜緣用於固定基底S。銷頭622被配置成與基底之邊緣接觸,並通常位於基座602與凹陷區域610之間的界面上。銷頭622可以與一銷軸626耦合,其中銷軸626延伸穿過基座中開口628。
銷620可被配置成使得當基座602被提昇進入沈積室200中時,銷頭622在形成於基座602中的一凹陷區域630內部凹陷。當降低基座時,可相對於基座602提高銷頭622。例如,如在2006年1月17日申請之、律師委託案號ASMEX.532A之共同未決美國專利申請案第11/334,339號(該申請案之全文以引用之方式併入本文中)中所述,在一具體實施例中,為了從一位於凹部630中的「較低」位置提昇銷620,藉由一提昇機構向下移動基底。向下運動導致支撐銷620之底面與定位於基座602下方的一連接器(未示出)接觸。銷620與連接器之接觸壓縮了環繞軸626之一下部部分之彈簧(未示出)。由於當基座602向下移動時彈簧被壓縮,彈簧獲得一回復力,當基座620下一次被提昇時,回復力將有助於該銷620之相對「下降」。因此,彈簧與平臺或「底板」之組合用於藉由連接器提供向下之銷運動允許在基座602上下運動時,銷保持相對固定,而無需將銷相對於沈積室200固定起來。
儘管已經在某些較佳之具體實施例或實例之上下文中揭示了本發明,熟悉此項技術者應瞭解,本發明將涵蓋從具體揭示之具體實施例到其他替代之具體實施例及/或本發明及其顯而易見之修正及其等同技術方案之使用。此外,儘管已經示出且詳細描述了本發明之各種修改,但基於本發明所揭示之內容,在本發明之範圍內的其他修正對熟悉此項技術者將是顯而易見的。還應認為:可進行該等具體實施例之具體特徵及方面之各種組合或次組合,且仍然落在本發明之範圍內。因此,應瞭解,所揭示之具體實施例之各種特徵與形態可彼此組合或替換,以便形成所揭示之發明之變化的模式。因此,應注意本文揭示之本發明之範圍不應限於所揭示之上述特定具體實施例,而僅僅由下述申請專利範圍之適當的解讀所確定。
100...ALD裝置
102(a)、102(b)...耦合部
104(a)~(d)...耦合部
106...氣體排出口
110...頂部部件
112...底部部件
114...上加熱器
116...下加熱器
118...前部部件
120...開口
200...沈積室
202...氣體分配系統
204...晶圓支撐體
206...底板
208、210...反射板
212、214...盤管
216...晶圓支撐體加熱器
218...熱開關
300、303、309、315...反應物管線
300(a)、300(b)、300(c)、300(d)...耦合部
301、302、305、307、311、313、317、319...緩衝管線
301(a)、302(a)、305(a)、307(a)、311(a)、313(a)、317(a)、319(a)...耦合部
303(a)、303(b)、303(c)、303(d)...耦合部
309(a)、309(b)、309(c)、309(d)...耦合部
315(a)、315(b)、315(c)、315(d)...耦合部
304...混合器總成
306...上游部件
308...下游部件
310...傳輸管
312...充氣部
314...蓋板
316...排氣流槽
320(a)~(d)...連接器
400(a)~(d)...緩衝區域
500...墊片
501...噴射孔
502...再循環單元
510...傳輸部件
511...氣體通道
512...充氣部
514...頂(蓋)板
518...第一部分
520...第二部分
519、521...水平分叉之壁
522...開口
523...上壁
524...收縮部分
525...頂表面
526...狹窄區域
528...膨脹部分
529...錐形壁
530...出口
g1、g2、g3...間隙
600...底板
602...基座
604...密封部分
605...下表面
606...末端
609...銷
610...凹陷區域
620...銷
622...銷頭
624...切口
626...銷軸
628...開口
630...凹陷區域
圖1A是一原子層沈積(ALD)裝置之正面、頂面及左側面示意圖。
圖1B是圖1A之ALD裝置之底面、背面及左側面示意圖。
圖2是沿線2-2切開之圖1之ALD裝置之剖視示意圖。
圖3是圖1A之ALD裝置內的氣體分配系統的示意圖(部分可見於圖2)。
圖4是反應物氣體管線之一頂部平面視圖,該等管線與圖3氣體分配系統之混合器總成之一上游部件耦合,其顯示每一反應物氣體管線中一緩衝區域。
圖5是通過圖1A之ALD裝置之氣體分配系統與反應室的一部分的剖視示意圖。
圖6是一氣體分配系統之一修正具體實施例之一部分之示意圖,其中該氣體分配系統與一ALD裝置內部之反應室的一頂板耦合。
圖7是圖6之氣體分配系統之頂面平面視圖。
圖8是移除了該氣體分配系統之圖6之頂板的頂部平面視圖。
圖9是沿圖7之線9-9切取之剖視圖。
圖9A是圖9之一部分之放大之視圖。
圖10是圖1之ALD系統內部一反應器之基座、基底及底板之示意圖。
圖11是類似於圖9之剖視圖,但還顯示了ALD裝置之基座及底板。
圖12是圖11之基座及底板之部分頂部示意圖。
圖13是圖11之基座旋轉180度之頂部示意圖。
圖14是沿圖13之線14-14之剖視圖,且進而顯示了定位於基座之上一基底。
圖15是一提昇銷及基座裝置之一具體實施例之一邊緣部分之一示意剖視圖示。
100...ALD裝置
110...頂部部件
102(b)...耦合部
106...氣體排出口
112...底部部件
114...上加熱器
116...下加熱器
118...前部部件
120...開口
200...沈積室
202...氣體分配系統
204...晶圓支撐體
206...底板
208、210...反射板
212、214...盤管
216...晶圓支撐體加熱器
218...熱開關
314...蓋板

Claims (26)

  1. 一種原子層沈積(ALD)薄膜沈積設備,包括:沈積室,適於在固定於其內部所界定之空間內的晶圓上沈積薄膜,該沈積室包括一具有平坦頂面之基座、一與該空間連通之進氣口,該沈積室界定一經由該沈積室的流動路徑,經由該沈積室的流動路徑基本上平行於該基座的平坦頂面;氣體系統,其被配置用於將氣體傳輸到該沈積室之該進氣口,該氣體系統包括:混合器,其被配置用於混合多種氣體流;以及傳輸部件,其與該混合器及該進氣口連通,該傳輸部件包括一對被配置用於在進入進氣口之前沿水平方向散播氣體之水平分叉壁,該傳輸部件界定一經由該傳輸部件的流動路徑,經由該傳輸部件的流動路徑基本上平行於經由該沈積室的流動路徑且方向相反。
  2. 如申請專利範圍第1項所述之原子層沈積(ALD)薄膜沈積設備,其中該傳輸部件包括第一部分及第二、下游部分,該第一部分包括一對以第一角度分叉之水平分叉壁,且該第二部分包括一對以第二角度分叉之水平分叉壁,其中該第二角度大於該第一角度。
  3. 如申請專利範圍第1項所述之原子層沈積(ALD)薄膜沈積設備,其中該些水平分叉壁大體上是筆直的。
  4. 如申請專利範圍第1項所述之原子層沈積(ALD)薄膜沈積設備,其中該些水平分叉壁是彎曲的。
  5. 如申請專利範圍第2項所述之原子層沈積(ALD)薄膜沈積設備,其中在該第一部分中,由該傳輸部件所界定之氣體通道之高度大體上是恆定的。
  6. 如申請專利範圍第2項所述之原子層沈積(ALD)薄膜沈積設備,其中在該第二部分中,由該傳輸部件所界定之氣體通道之高度沿氣流方向降低。
  7. 如申請專利範圍第6項所述之原子層沈積(ALD)薄膜沈積設備,其中在該第一部分中經由該傳輸部件之流動路徑的高度大體上是恆定的。
  8. 如申請專利範圍第1項所述之原子層沈積(ALD)薄膜沈積設備,其中該沈積室之進氣口包括與該傳輸部件連通之收縮部分、與該沈積室中的空間連通之膨脹部分及一定位於該收縮與膨脹部分之間的狹窄部分,且其中該收縮部分被配置用於降低從該傳輸部件到該狹窄部分之流動路徑之橫截面積,而該膨脹部分被配置用於提高從該狹窄部分到該沈積室中該空間的流動路徑之橫截面積。
  9. 如申請專利範圍第8項所述之原子層沈積(ALD)薄膜沈積設備,其中在該狹窄部分內部,在該混合器與該沈積室之間的氣體通道之橫截面積最小。
  10. 如申請專利範圍第8項所述之原子層沈積(ALD)薄膜沈積設備,其中該沈積室包括頂板、底板及基座,該基座在垂直方向上移動以緊靠該底板密封,且在該頂板中 形成該進氣口。
  11. 如申請專利範圍第1項所述之原子層沈積(ALD)薄膜沈積設備,其中該沈積室包括頂板、底板及基座,該基座被配置成相對於該底板在垂直方向上移動,以便該基座在第一位置緊靠該底板之下表面密封。
  12. 一種原子層沈積(ALD)薄膜沈積設備,其包括:沈積室,適於在固定於其內部所界定之空間內的晶圓上沈積薄膜,該沈積室包括與該空間連通之進氣口,該沈積室更包括包含密封面之密封部分;以及基座,其被配置用於支撐在該空間內之該晶圓,該基座被配置成相對於該沈積室垂直地移動於第一位置與第二、較低位置之間,其中該基座在該第一位置是緊靠該密封面密封,而該基座在該第二位置不再緊靠該密封面密封;其中,在該第一位置,在該密封面與該基座之間的界面與定位於該基座上之該晶圓之頂面之間之垂直距離小於大約2mm。
  13. 如申請專利範圍第12項所述之原子層沈積(ALD)薄膜沈積設備,其中在該密封面與該基座之間之界面與定位於該基座上的該晶圓大體上位於同一垂直高度。
  14. 如申請專利範圍第12項所述之原子層沈積(ALD)薄膜沈積設備,其中在該密封面與該基座之間的界面之間的基座的一頂面大體上是平坦的。
  15. 如申請專利範圍第12項所述之原子層沈積(ALD)薄膜沈積設備,其中該沈積室包括頂板與底板,且其中該底板至少有一部分形成該密封部分,而該頂板至少有一部分形成該進氣口。
  16. 如申請專利範圍第12項所述之原子層沈積(ALD)薄膜沈積設備,其中該晶圓被定位於該基座上,使得在與該晶圓之一後緣相比較之下,該晶圓之一前緣相對於氣流是更遠離該密封部分。
  17. 如申請專利範圍第12項所述之原子層沈積(ALD)薄膜沈積設備,其中該基座之直徑大於該晶圓之直徑大約10%至大約25%之間。
  18. 一種基底支撐體,用於處理半導體基底,該基底支撐體包括具有凹部之頂表面,該凹部被配置成使得該基底支撐體之頂表面僅僅沿該基底之一邊緣部分接觸該基底。
  19. 如申請專利範圍第18項所述之基底支撐體,其中該凹部具有大約0.2至大約0.5mm之深度。
  20. 如申請專利範圍第18項所述之基底支撐體,其中該凹部具有通常圓形形狀。
  21. 如申請專利範圍第20項所述之基底支撐體,其中該通常圓形凹部之中心相對於該基底支撐體之外緣偏心定位。
  22. 如申請專利範圍第18項所述之基底支撐體,其中該支撐體之頂表面與該基底形成通常圓形密封。
  23. 如申請專利範圍第22項所述之基底支撐體,其中該通常圓形密封之中心相對於該支撐體之外緣偏心定位。
  24. 如申請專利範圍第18項所述之基底支撐體,其中在該基座之邊緣與該凹部之間的基座之頂部區域大體上是平坦的。
  25. 如申請專利範圍第24項所述之基底支撐體,其中該頂部區域包括至少銷。
  26. 一種原子層沈積(ALD)薄膜沈積設備,其包括:沈積室,適於在固定於其內部所界定之空間內的晶圓上沈積薄膜,該沈積室包括與該空間連通之進氣口,該沈積室更包括包含密封面之密封部分;基座,其被配置用於支撐在該空間內部之該晶圓,該基座被配置成相對於該沈積室垂直地移動於第一位置與第二、較低位置之間,其中該基座在該第一位置是緊靠該密封面密封,而該基座在該第二位置不再緊靠該密封面密封;以及定位在該基座上的晶圓,使得當該基座位在該第一位置時,與該晶圓之一後緣相比,該晶圓之一前緣相對於氣流是更遠離該密封面。
TW095101879A 2005-01-18 2006-01-18 薄膜成長的反應系統 TWI412063B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US64558105P 2005-01-18 2005-01-18
US65683205P 2005-02-24 2005-02-24
PCT/US2006/001640 WO2006078666A2 (en) 2005-01-18 2006-01-17 Reaction system for growing a thin film

Publications (2)

Publication Number Publication Date
TW200701301A TW200701301A (en) 2007-01-01
TWI412063B true TWI412063B (zh) 2013-10-11

Family

ID=73197157

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095101879A TWI412063B (zh) 2005-01-18 2006-01-18 薄膜成長的反應系統

Country Status (6)

Country Link
US (3) US8211230B2 (zh)
EP (1) EP1866465A2 (zh)
JP (2) JP4934595B2 (zh)
KR (2) KR101463581B1 (zh)
TW (1) TWI412063B (zh)
WO (1) WO2006078666A2 (zh)

Families Citing this family (392)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US8211230B2 (en) 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
US20060216415A1 (en) * 2005-03-24 2006-09-28 United Technologies Corporation Vapor aluminide coating gas manifold
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
EP2215282B1 (en) * 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9410248B2 (en) * 2010-03-29 2016-08-09 Koolerheadz Modular gas injection device
US9790594B2 (en) 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9327252B2 (en) * 2013-03-15 2016-05-03 Applied Materials, Inc. Compact device for enhancing the mixing of gaseous species
CN105164309B (zh) * 2013-05-01 2019-04-12 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR20150145509A (ko) 2014-06-20 2015-12-30 한국전자통신연구원 센서를 이용한 응용 프로그램 전송 장치 및 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
MX2014013233A (es) * 2014-10-30 2016-05-02 Ct Investig Materiales Avanzados Sc Tobera de inyeccion de aerosoles y su metodo de utilizacion para depositar diferentes recubrimientos mediante deposito quimico de vapor asistido por aerosol.
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106607320B (zh) * 2016-12-22 2019-10-01 武汉华星光电技术有限公司 适用于柔性基板的热真空干燥装置
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10752991B2 (en) * 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
KR102509014B1 (ko) 2017-05-31 2023-03-13 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화 챔버
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108517488B (zh) * 2018-05-14 2019-07-09 武汉大学 一种合金材料部件表面防腐耐磨复合涂层及其制备方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109306470A (zh) * 2018-09-29 2019-02-05 北京北方华创微电子装备有限公司 用于原子层沉积工艺的进气装置及原子层沉积装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102162260B1 (ko) 2018-10-22 2020-10-06 세메스 주식회사 가이드 핀 및 이를 구비하는 포토 마스크 지지 유닛과 포토 마스크 세정 장치
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129501B (en) * 2019-04-25 2022-03-31 Beneq Oy Gas distribution unit in connection with the ALD reactor
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP2021001361A (ja) * 2019-06-19 2021-01-07 東京エレクトロン株式会社 処理方法及び基板処理システム
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW522473B (en) * 2000-12-06 2003-03-01 Angstron Systems Inc Method and apparatus for improved temperature control in atomic layer deposition
US20040099378A1 (en) * 2002-11-15 2004-05-27 Tae-Wan Kim Gas injection apparatus for semiconductor processing system
TW200423233A (en) * 2003-03-03 2004-11-01 Applied Materials Inc Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
JPS6055478B2 (ja) * 1982-10-19 1985-12-05 松下電器産業株式会社 気相成長方法
DE3411208A1 (de) * 1984-03-27 1985-10-10 Leybold-Heraeus GmbH, 5000 Köln Haltevorrichtung fuer substrate, insbesondere in vakuum-beschichtungsanlagen
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
JPH0410529A (ja) 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
JPH0590238A (ja) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
US5429498A (en) 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
JPH0718438A (ja) 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
JP3082603B2 (ja) 1994-11-22 2000-08-28 住友金属工業株式会社 ウエハ搬送装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0936049A (ja) 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5853214A (en) 1995-11-27 1998-12-29 Progressive System Technologies, Inc. Aligner for a substrate carrier
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6245152B1 (en) 1996-07-05 2001-06-12 Super Silicon Crystal Research Institute Corp. Method and apparatus for producing epitaxial wafer
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
AUPO129096A0 (en) * 1996-07-26 1996-08-22 Boc Gases Australia Limited Oxygen dissolver for pipelines or pipe outlets
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5887117A (en) * 1997-01-02 1999-03-23 Sharp Kabushiki Kaisha Flash evaporator
TW464944B (en) * 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214116B1 (en) * 1998-01-17 2001-04-10 Hanvac Corporation Horizontal reactor for compound semiconductor growth
US6264467B1 (en) 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
JP2000315720A (ja) 1999-04-28 2000-11-14 Ibiden Co Ltd セラミックス製の半導体製造用治具
WO2000079576A1 (en) * 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6163015A (en) * 1999-07-21 2000-12-19 Moore Epitaxial, Inc. Substrate support element
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20050000449A1 (en) 2001-12-21 2005-01-06 Masayuki Ishibashi Susceptor for epitaxial growth and epitaxial growth method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
JP4007044B2 (ja) 2002-04-19 2007-11-14 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
JP2004091848A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
KR100474971B1 (ko) 2002-09-14 2005-03-10 주식회사 아이피에스 플로우타입 박막증착장치 및 그에 채용되는 인젝터 어셈블리
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
JP2004296490A (ja) 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6941963B2 (en) * 2003-06-26 2005-09-13 Planar Systems, Inc. High-speed diaphragm valve for atomic layer deposition
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4399452B2 (ja) * 2004-06-15 2010-01-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US7582181B2 (en) * 2004-09-30 2009-09-01 Tokyo Electron Limited Method and system for controlling a velocity field of a supercritical fluid in a processing system
US8211230B2 (en) * 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
US7669932B1 (en) 2006-02-28 2010-03-02 Grammer A.G. Headrest
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7976898B2 (en) * 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
KR200463893Y1 (ko) 2010-07-09 2012-12-03 김철우 전원 및 통신선로의 복합 개폐장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW522473B (en) * 2000-12-06 2003-03-01 Angstron Systems Inc Method and apparatus for improved temperature control in atomic layer deposition
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20040099378A1 (en) * 2002-11-15 2004-05-27 Tae-Wan Kim Gas injection apparatus for semiconductor processing system
TW200423233A (en) * 2003-03-03 2004-11-01 Applied Materials Inc Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices

Also Published As

Publication number Publication date
KR101463581B1 (ko) 2014-11-20
WO2006078666A3 (en) 2006-10-12
JP5722753B2 (ja) 2015-05-27
US10468291B2 (en) 2019-11-05
JP2012089863A (ja) 2012-05-10
JP2008527748A (ja) 2008-07-24
TW200701301A (en) 2007-01-01
US20120266821A1 (en) 2012-10-25
EP1866465A2 (en) 2007-12-19
WO2006078666A2 (en) 2006-07-27
US20160233124A1 (en) 2016-08-11
KR101332739B1 (ko) 2013-11-25
US9359672B2 (en) 2016-06-07
KR20070100354A (ko) 2007-10-10
JP4934595B2 (ja) 2012-05-16
US8211230B2 (en) 2012-07-03
US20060266289A1 (en) 2006-11-30
KR20130027575A (ko) 2013-03-15

Similar Documents

Publication Publication Date Title
TWI412063B (zh) 薄膜成長的反應系統
JP2008527748A5 (zh)
US8465801B2 (en) Gas mixer and manifold assembly for ALD reactor
US7918938B2 (en) High temperature ALD inlet manifold
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
US7427425B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20080072821A1 (en) Small volume symmetric flow single wafer ald apparatus
EP1957688A2 (en) Small volume symmetric flow single wafer ald apparatus
US7282239B2 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
CN212542358U (zh) 喷头
US20040173150A1 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
KR102446230B1 (ko) 기판처리장치 및 이를 이용한 기판처리방법