JP4959333B2 - 化学的不活性化を通じたリアクタ表面のパシベーション - Google Patents

化学的不活性化を通じたリアクタ表面のパシベーション Download PDF

Info

Publication number
JP4959333B2
JP4959333B2 JP2006532497A JP2006532497A JP4959333B2 JP 4959333 B2 JP4959333 B2 JP 4959333B2 JP 2006532497 A JP2006532497 A JP 2006532497A JP 2006532497 A JP2006532497 A JP 2006532497A JP 4959333 B2 JP4959333 B2 JP 4959333B2
Authority
JP
Japan
Prior art keywords
reaction space
reactant
gas
reaction
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006532497A
Other languages
English (en)
Other versions
JP2007501902A (ja
Inventor
モヒス ヴェゲセ
エリック ジェイ. シェロ
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2007501902A publication Critical patent/JP2007501902A/ja
Application granted granted Critical
Publication of JP4959333B2 publication Critical patent/JP4959333B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/18Processes for applying liquids or other fluent materials performed by dipping
    • B05D1/185Processes for applying liquids or other fluent materials performed by dipping applying monomolecular layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/05Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions
    • C23C22/68Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions using aqueous solutions with pH between 6 and 8
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2203/00Other substrates
    • B05D2203/30Other inorganic substrates, e.g. ceramics, silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2518/00Other type of polymers
    • B05D2518/10Silicon-containing polymers
    • B05D2518/12Ceramic precursors (polysiloxanes, polysilazanes)
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0433Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a reactive gas
    • B05D3/044Pretreatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0466Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a non-reacting gas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/10Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
    • B05D3/104Pretreatment of other substrates

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

発明の分野
本発明は、化学気相成長(CVD)および原子層堆積(ALD)のリアクタ中のリアクタ表面上の堆積を抑制することに一般的に関連する。より詳細には、本発明は、後のリアクタを通るガスの流れによるコーティングを制限するためにリアクタの表面を不活性化することに関する。
発明の背景
原子層堆積(ALD)は、例えばシリコンウエハなどの基板上に材料の薄膜を形成するために、半導体業界に周知の方法である。ALDは、サイクルで実施される自己飽和反応(self-saturation reaction)を通して膜が蓄積される、気相成長の1タイプである。膜の厚みは実施されるサイクルの回数で決定される。ALD法において、ガス状の前駆体は、交互に且つ反復的に、ウエハ上に材料の薄膜を形成するために基板即ちウエハに供給される。ある反応物は、ウエハ上に自己制御プロセスにおいて吸着する。後の反応物パルス(reactant pulse)は、所望される材料の単分子層を形成するために吸着した材料と反応する。分解は、例えばリガンド交換またはゲッタリング反応におけるような適切に選択された試薬との反応を通して、起こり得る。典型的なALD反応において、一分子以下の単層がサイクル毎に形成する。より厚みのある膜は、目的の厚みが達成されるまで反復される成長サイクルを通して作られる。
ALDプロセスにおいて、少なくとも1つの覆われる表面を有する1つ以上の基板および所望される産物を形成するための反応物が、リアクタまたは堆積チャンバに導入される。1つ以上の基板は典型的にウエハ支持体またはサセプタ上に置かれる。ウエハ支持体は、リアクタ中に明確にされたチャンバ内に配置される。ウエハは、反応物ガスの凝縮温度より高く、そして典型的に反応物ガスの熱分解温度よりも低い望ましい温度に加熱される。ALDプロセスにおける幾つかのバリエーション(例えば、“サイクルの”または“デジタル”CVD)が、前駆体のウエハ表面上への分解に依存するために、ウエハは時々分解温度よりも高くに加熱され得る。このようなバリアントにおいて、帯状の温度制御(リアクタの他の部分をサセプタよりも冷たく保つこと)を使用することによって、又はリアクタ中のガスの滞留時間を減少させることによって、分解はリアクタの他の部分上で最小限に抑えられ得る。
ADLに特有の特徴は、各々の反応物が、飽和した表面の状態が達せられるまで基板にパルスで送達されることである。上記のように、1つの反応物が典型的に基板表面上に吸着し、第二の反応物が引き続いて後のパルス時に吸着した種と反応する。自己制御成長を得るために、気相の反応物は、パージ又は一連の反応物パルス間の他の除去ステップによって隔離されて維持される。所望される材料の成長は、パージステップ時に起こらないので、パージステップの時間を制限することは有利であり得る。より短い時間のパージステップは、リアクタ内の反応物の吸着および反応のために利用可能な時間を増加し得るが、分解の自己制御の性質を壊すCVD反応の危険性を回避するために、気相の反応物は混合することはできない。成長速度が自己制御であるため、成長速度はCVDにおけるように温度または反応物の流動に対するよりもむしろ反応シークエンスの反復速度に比例する。
常に、堆積は、プロセシング時に基板表面以外のALDリアクタ表面上で起こる。時間が経過すると、リアクタの表面上の膜ビルドアップが起こり得る。膜ビルドアップはリアクタ表面から剥離し、基板表面を汚染し得る。リアクタ表面上の大量の緩く付着した膜ビルドアップは、反応物パルスに曝される総表面積を増加する。従って、これもウエハ表面を飽和するために必要なパルス及びパージの時間を増加し得る。
このようなコーティングは、リアクタ部分をCVD温度よりも低い温度の反応物ガスに曝されるように維持することによって最小限に抑えられ得るが、高温のCVD反応物部分は同様に堆積ビルドアップに直面する。他方、より低温のCVD反応物部分は、ALDリアクタにおけるように、反応物の凝縮および吸着/脱着に供され得、更なる汚染問題へ導く。
リアクタの頻繁なクリーニングは、汚染の可能性を制限し得る。しかし、リアクタはこれらのクリーニングの間使用できず、従ってALDまたはCVDプロセスの効率を低下する。
発明の要旨
本発明のシステムおよび方法は、幾つかの特徴を有し、そのどの1つも単独でその望ましい特性の原因とならない。続く本願特許請求の範囲によって明示される本発明の範囲を制限することなく、そのより顕著な特性がここで簡略に検討される。この検討を考慮した後に、そして特に“好ましい実施形態の詳細な説明”と題されるセクションを読んだ後、人は本発明の特徴がどのように気相成長の方法およびシステムに幾つかの利点を付与するのか理解する。
本発明の1側面に従って、気相成長反応スペースの表面を不活性化するための方法が提供される。その中で実施される気相成長プロセスが、処理された表面によりもむしろ基板に選択的にするために、表面が処理される。1つの実施形態において、処理は、反応スペースを明確にするパーツの組立ての前のエクスサイチュ(ex situ)処理を含む。もう1つの実施形態において、処理および周期的な再処理が、処理ガスを反応スペースを通って反応スペースの表面を不活性化するために流動することによって、インサイチュで実施され得る。
本発明のもう1つの側面に従って、気相成長リアクタは、その上の堆積反応を抑制する単層によって不活性化されている反応スペースを明確にする表面の少なくとも幾らかを備える。原子層堆積(ALD)リアクタの例において、単層は、堆積時に一般に用いられている条件下で反応物と非反応性である。
本発明のもう1つの側面に従って、気相成長リアクタの反応スペース表面を処理および作動する方法は、処理化学物質で反応スペース表面を処理することを含む。処理は、反応スペース表面を複数の堆積反応との反応に対して不活性化する。基板は、この処理の後に反応スペースにロードされる。層は、基板を複数の反応物に曝すことによって基板上に堆積される。
本発明のもう1つの側面に従って、気相成長装置は、反応スペースを明確にする複数の反応スペース表面を備える。基板支持構造は、反応スペース中に収容される。複数のフィードライン(feedline)が、反応スペースを気相成長プロセスに適する気相反応物の供給源に接続する。反応スペース表面の少なくとも幾らかは、気相成長プロセスに対してこのような表面を不活性化する表面の改質を含む。
本発明のもう1つの側面に従って、堆積システムは、膜を基板上に堆積するための堆積チャンバを有するリアクタを備える。堆積チャンバの表面は、−OH、窒素、水素、およびハロゲン化物の表面基からなる群から選択される反応性部位で覆われる。基板支持体は、リアクタ中に位置され、基板を支持するように構成される。処理ガス供給源は、処理ガスを含む。処理ガス供給源とフロー連通したインレットラインは、処理ガスを堆積チャンバの表面に供給するように配置される。処置ガスは、堆積チャンバの表面上に保護層を形成するために反応性部位と結合するように選択され、保護層は、膜が堆積チャンバの表面上に堆積する可能性を低減する。
図示された実施形態において、不活性化は、処理反応物の反応スペース表面上の吸着によって提供される。処理プロセスは存在する反応性部位を結合し、占有する若しくはそうでなければ、このような反応性部位が後のプロセシングにおいて堆積反応物に曝されるのを防ぐ。例えば、−OH分子は、金属の反応スペース表面および酸化物−パシベートされた金属表面(例えば、酸化アルミニウムで覆われたチタン)の表面上に多くの場合存在する。このような−OH末端は、容易に典型的なALD前駆体と反応する。同様に、他のタイプの反応性部位が存在し得る。処理ガスは、処理反応物の吸着を引き起こし、−OH基を結合させ、堆積のためのリアクタに接続された特定のALD反応物に対して非反応性の末端を残す。特に、処理反応物(吸着した、大部分が無傷の)と反応スペース表面間の結合の強さは、脱着または堆積反応物との化学的な反応を回避するのに十分強い。
不活性化プロセスの主な利点は、反応チャンバ表面上の堆積ビルドアップの相当な減少、リアクタのクリーニングのための低減された中断時間および低減された微粒子の生成である。さらに、堆積ステップ間に、反応スペースから反応物を除去する時間は、反応物が壁に容易に接着しないという事実によってかなり低減され得る。これは、除去ステップ(典型的に、不活性ガスを用いたパージング)が、ガス相の反応を回避するために各々の反応物パルス間で反復的に使用されるALDに特に著しく有利である。反応スペース表面の堆積反応に対する不活性化は、非常に低減されたパージング時間での有効なパージングを可能にする。ALDの関連で、“有効な”パージングは、連続した反応物のパルス間でガス相が混合する過度の危険性を防ぐようなパージングを伴う。これは、ALDステップのためのサイクル時間を低減するだけでなく、ガス相のCVD反応を低減することによって基板上のより均一な堆積膜をも結果的に生じる。
これら及び本発明の他の側面は、以下の詳細な説明および添付された図面を考慮して容易に理解されるであろう。これらは、例証を意図し本発明を限定するのではない。
好適な実施形態の詳細な説明
本発明の特徴、側面および利点はここで好適な実施形態の図面を参照して説明されるが、該実施形態は例証を意図し本発明を限定するのではない。
図1は、原子層堆積(ALD)リアクタ100の概略図である。ALDリアクタ100は、ここで説明されるように、堆積反応物気相に供されるリアクタ表面を不活性化する処理から利益を得る例示的なデバイスである。代替的に、ここに説明される処理は、他の半導体加工ツール、例えば化学気相成長(CVD)リアクタにおいて使用され得る。しかしながら、該処理は、半導体加工ツールを用いた使用に限定されるのではなく、種々の業界において使用される化学的な反応ツールの保護のために有用である。例証的な業界には、航空宇宙産業、化学、および電気産業が含まれる。
処理は、種々の一般的なリアクタ材料、特にチタン、チタン合金、二酸化チタン、ニッケル、ニッケル合金、ステンレス鋼および酸化アルミニウム(多くの場合金属リアクタ表面上のパシベーション層として使用される)を含む金属、並びにガラスおよびクオーツ等に適用できる。このような表面は、堆積時に所望されないコーティングへと導き得る反応性部位(例えばOH−末端)の形成に感受性が強いと見出されてきた。従来型の酸化物(例えば酸化アルミニウム、AlO)パシベーションは、同様に−OH表面末端を含む。処理は、所望されない膜ビルドアップを蓄積するチタン表面に特に有用である。チタン及び類似の表面は、従来型のクリーニング技術が使用される時の表面の損傷に敏感であり得る。例えば、エッチング溶液の使用は、多くの場合チタン表面に穴を開けまたは浸食し得る従来型のクリーニング技術であり、ビーズブラスティング(bead blasting)のような機械的プロセスはまた有害であり、チタンは特にクリーニングの頻度を最小限に抑えることから利益を受ける。AlOパシベーティングは、リアクタの作動時の浸食に対して保護することができる一方、このようなパシベーションは、増加した反応性部位の濃度に起因する堆積ビルドアップを悪化し得る。典型的に、ALDおよびCVDリアクタは、頻繁に製造から取り出され、分解され、そして堆積ビルドアップの除去のためにエッチ化学薬品で処理されなければならない。従来型の酸化物パシベーションは、次いで再組立ての前に適用され、クリーニング前に1セットの数の堆積が更に必要とされる。典型的に、ここで説明される処理から利益を受けるリアクタ表面は、処理前の親水性の末端によって特徴付けられる。
ALDリアクタ100はトップ部材110、ボトム部材112、インレットサイド部材114及び排気サイド部材116を備え、これらは共にALDリアクタ100のハウジングの一部を形成する。インレットサイド部材114および排気サイド部材116は各々、オリフィス115及び117を含む。図1中に図示されるように、ハウジングは、堆積チャンバ200を明確にする。勿論、さらなるサイドウォール(断面図に示されていない)は、図示された壁と協同して堆積チャンバ200を囲む。
図1のリアクタ100は概略的にのみ示されており、気相成長リアクタは種々の構成をとり得ることが理解される。処理プロセスのここにおける説明は、如何なる特定の構成にも限定されるのではない。例えば、ALDおよびCVDリアクタ両方が、図1に示されるようにガスラインの上流での合体を使用し得る。他方、多くの気相成長リアクタ、そして特にALDリアクタは、反応物が反応チャンバ中への注入まで隔離して維持されるシステムを使用し、これによって反応チャンバ上流の共通ライン(common lines)を最小限に抑える。それらの場合、処理は反応チャンバの表面上だけ、或いは直ぐ下流の排気表面の一部で実施され得る。さらに、水平の層流フロータイプの単一ウエハリアクタとして図示されるが、ここに説明される処理はバッチシステム及び他のタイプのフローシステム(例えばシャワーヘッド、大気(atmospheric)LPCVD等)に適用できる。
基板支持体、即ちサセプタ204はALDリアクタ100中に配置され、堆積プロセス時に1つ以上の基板、即ちウエハ(1つのウエハ205が示される)を支持するように構成される。加工の前に、1つ以上の基板が基板支持体、即ちサセプタ204上に配置される。幾つかのアレンジメントにおいては、基板支持体204は堆積チャンバ200中で回転するように適合される。一度加工が完了すると、ウエハ205は堆積チャンバ200から取り除かれ得る。リアクタ100はまた基板を適切な堆積温度で維持するための加熱手段、および必要に応じて壁表面を制御するための別個の加熱手段を含む。
ALDリアクタは、ガス分配システム130を更に含む。ガス分配システム130は、蒸気供給源119a−nから導く少なくとも2つのガスインレットライン118a−n及びバキュームポンプ121へ導く排気ライン120を含む。インレットライン118a−nは、少なくとも1つのオリフィス115を経由して反応チャンバ200とフロー連通している。排気ライン120は、少なくとも1つのオリフィス117を経由して反応チャンバ200とフロー連通している。ガス分配システム130は、反応チャンバ200上流のミキサーチャンバ132の形態で共通スペースを有するように図示される。ミキサーチャンバ132、反応チャンバ200および任意の介在する分配マニホールドは、このように少なくとも2つの相互に反応性のある反応物、そして図示された配置においては全ての反応物に供される(ALDにおいては異なる時間で、そしてCVDにおいては同時に)。このようにミキサーチャンバ132及び反応チャンバ200は共に反応スペースを明確にする。ガス分配システム130及びミキシングチャンバ132は、図1に示されるようにリアクタの一側面だけに限定されるのではない。システムは、多数のおよび別個のガス分配システム並びに、例えば不適合な(相互に反応性のある)ガスを反応スペース200まで隔離するために使用され得るミキシングチャンバを有し得る。反応チャンバ200だけが(同時にまたは連続的に)多数の反応物に供される場合、次いで反応チャンバ200は排気システムの直ぐ下流の部分と共に反応スペースを明確にする。このように、“反応スペース”はここで使用されるように、多数の相互に反応性のある反応物に供される表面を指すと理解される。
ガス分配システムは、反応物およびパージガスをALDリアクタ100経由の経路で送るように構成される。例えば、ガス分配システム130は、インレットライン118a、118b経由でALDリアクタに入る反応物ガスを、堆積チャンバ200に周期的に送る。より詳細には、ガス分配システム200は、第一反応物ガスを第一反応物インレット118aラインおよびミキサーチャンバ132経由で堆積チャンバ200に送る。一度堆積チャンバ200中にあると、第一反応物ガスの一部はウエハ205の表面上に吸着する。上記に説明されるように、第一の気相反応物は、有利なことに、堆積チャンバ200またはインレットライン118内の他の表面上に吸着し得る。次いで、ガス分配システムはパージガスをパージインレットライン118c経由で堆積チャンバ200に送る。パージガスは、基板即ちウエハ並びに場合によっては副産物の表面上に吸着しなかった第一反応物ガスの部分に置き換わる。第一反応物ガスの置き換えられた部分およびパージガスは排気ライン120経由で堆積チャンバ200から出る。その後、第二の気相反応物は、第二反応物インレットライン118b経由で、吸着した単層と反応するように提供され、過剰の第二反応物および副産物はパージされる。幾つかの配置において、ALDサイクルはまた、第三、第四などの反応物パルスを付随のパージステップと共に含み得る。
反応物およびパージガスに加え、図示されたガス分配システムは、1つ以上の処理ガスを処理ライン118dにより堆積チャンバ200へ周期的に送り得る。ガス分配システムは、好ましくは各々の処理ガスを、示されるように堆積反応物が反応チャンバ200上流の幾つかの経路を共有する、共有されたインレットライン118(z)を経由して堆積チャンバ200へ送る。以下により詳細に説明されるように、各々の処理ガスは、ALDプロセシングに利益を提供し得る。例えば、どちらの処理ガスが選択されるかに依存し、堆積チャンバ200の如何なる既存の表面の末端との組合せで、第一処理ガスは、作動時にそれらの同じ表面に接着するプロセシング(例えば堆積)反応物ガスに対して反応スペース表面を不活性化するために、それらの表面に望ましく接着し得る。この方法において、処理反応物、堆積反応物、およびパージガスはインレットライン118a−n経由でハウジングに入り、排気ライン120経由でハウジングを出る。
ここにおける開示を考慮して熟練の技術者に理解されるように、処理ガスはガスの混合物を含み得る。例えば、リアクタ表面上の化学的吸着に適した多くの処理ガスは、標準条件下で固体または液体である。従って、このような処理ガスは、最も好ましくは、別個の供給源容器における蒸発によって反応チャンバに送達され、そして供給源容器からリアクタ表面への不活性なキャリアガスのフロー中に浮遊して運ばれる。このような送達は、リアクタ表面のインサイチュ気相処理に特に適用可能であるが、以下の開示から理解されるように、リアクタ部分のエクスサイチュ処理にも適用され得る。さらに、処理ガスは、反応性ガスの混合物を含み得る。
処理ガスに加えて、前処理ガスも必要に応じて堆積チャンバ200中に導入され得る。この第二または前処理ガスは、上記の処理ガスの前、または組合せで、堆積チャンバ200中に導入され得る。本発明の特に好ましい実施形態において、この前処理ガスは反応スペース表面の前処理または準備のための酸素含有ガスである。酸素含有ガスは、好ましくは第一処理ガスを導入する前に反応チャンバ200中に導入される。酸素含有ガスは、有利なことに、堆積チャンバ200の表面と反応することおよび反応スペース表面上の反応性部位の密度を上昇させることによる、より完全な第一処理ガスとの反応のための堆積チャンバ200の表面を準備する。一度酸素含有ガスがこれらの反応性部位を形成すると、堆積チャンバ200の表面は後の処理ガスの吸着により敏感であり、それらの同じ表面上に接着している反応物ガスに対してより高密度に詰まったパシベーションを形成する。図示された実施形態において前処理は、より親水性の表面を生じることによって特徴付けられ得、これに対して該処理はより疎水性の表面を生じるとして特徴付けられ得る。リアクタ表面を不活性化するためのこのような前処理および処理の取り込みは、図2Aおよび2Bを参照してさらに説明される。図3および4に関連しても説明されるように、前処理は液相の化学物質への曝露によって実施され得る(例えばリアクタ部分のエクスサイチュ処理において)。このような場合、リアクタは、気相の処理化学物質の供給源を含む必要はない。
ガス分配システムは更なるインレットライン(示されていない)を含み得る。例えば、ガス分配システムは、ALDリアクタ100の構成に依存して、処理、堆積反応物および不活性ガスに指定される、より多くの数のインレットライン118a−nを含み得る。さらに、各々のインレットラインは1つ以上の更なるガスフローラインに連結されても、されなくてもよい。例えば、別の反応物供給源が、標準条件下で自然に気体である反応物のための単純なコンテナまたはガスボンベである一方で、反応物ガスに指定された1つ以上のインレットラインが、図示される供給源コンテナ119a−nの上流をキャリアガスの供給源(例えば、バブラー用)に連結されてもよい。このようにして、図示された実施形態において、インレットライン118a−nは、反応物ガスを反応物ガス供給源および/または液体蒸気供給源(例えば、蒸発器またはバブラー)から流動する。インレットライン118dは、処理ガスを処理ガス供給源119dから堆積チャンバ200に流動させる。インレットライン118cは、不活性ガスを不活性ガス供給源119cから流動させる。パージングまたはキャリアのための例示的な不活性ガスは窒素ガス(N)である。
記載されるように、図示されたガス分配システム130はまた、ミキシングデバイス132を含む。ミキシングデバイス132は、ガスが堆積チャンバ200に入る前に、インテイクライン118a−nからのガスを混合する。例えばALD時に、1つの反応物と不活性なキャリアガスのフローを混合することが望ましいかもしれない。さらに、ALD反応物は気相において混合されないが、二つのALD反応物が、ミキサーにおける同一空間を通って連続的に流動するという事実は、第二反応物が、以前の第一反応物パルスからミキサーの内側の表面上に接着した第一反応物と反応し、堆積を生じることを意味する。反応チャンバ200における制御されない非自己制御のCVD様反応へ導き得る、第二反応物パルス時に第一反応物がリアクタ表面から脱着することを可能にすることと比較して、反応チャンバ上流のこのような制御可能な堆積は、犠牲反応(sacrificial reaction)として好ましい。ミキサーチャンバ132は次いで周期的にクリーニングされ得る。ミキシングデバイスは使用されてもされなくてもよい。幾つかの単純なALD構成において、1つのガスはリアクタの1つのサイドから、もう1つがリアクタのもう1つのサイドから導入され得る。この場合、反応物によって共有される空間のみが、排気ライン120は別として反応チャンバ200そのものである。
説明を容易にするために、堆積チャンバ200の範囲は、少なくとも2つの反応物ガスまたはガスの混合物に供される、隣接するガス分配システムの任意の部分と共に、“反応スペース”の部分として定義される。1つの反応物だけに曝されるガス分配システム内のラインと異なり、1つ以上の反応物ガスに曝されるラインは、それらの内側の表面上の膜ビルドアップに特に敏感である。このように、リアクタ表面上の堆積は、ミキサー132中だけで起こるのではなく、堆積チャンバ200、チャンバ200と直接連通している排気ライン120の内側の表面、およびミキサーデバイス132の下流且つ堆積チャンバ200の上流に位置する共有されたインレットガスライン118zの表面上でも起こる。
ALD制御システム150は、ウエハの加工の前および間にALDリアクタ100を制御するように配置される。例えば、ALD制御システムは、反応物およびパージガスのALDリアクタ100中へおよびそれから出るフローを制御するための制御ソフトウエアおよび電気的に制御されたバルブを含み得る。1つの実施形態において、ALD制御システムはまた、例えば反応スペースの内側の表面上に保護層を形成することによって、ALD反応に対して表面を不活性化するために、ALDリアクタ100中への処理ガスのフローを制御する。表面を不活性化した後、ALD制御システム150は、基板を堆積チャンバ200中へロードし、基板205(例えばシリコンウエハ)上に堆積を形成するために、反応物および/またはパージガスをALDリアクタ100中へ流動する。ALD制御システム150は、特定のタスクを行うソフトウエアまたはハードウエアコンポーネント(例えばFPGAまたはASIC)などのモジュールを含み得る。モジュールは有利なことに、ALD制御システム150のアドレスで呼び出し可能な記録媒体上に存在するように設定され、そして1つ以上のプロセッサーを実行するように設定され得る。
処理反応物または化学物質は、ウエハ即ち基板の加工の前に、反応スペースの少なくとも一部と反応する。この反応スペースは、ALDリアクタ100の内側の表面の一部を含み得る。処理化学物質は、好ましくは、反応スペース表面上の反応部位と結合しまたは占める。幾つかの配置において、処理化学物質は液相における溶液として提供される。図1の実施形態において、処理化学物質は、処理供給源119dから気相で反応スペースに提供され、この場合、使用されていない処理ガスは、反応からの任意の副産物と共に、ALDリアクタ100から排気ライン120経由で排気される。ALD制御システム150は、処理ガスを含む種々のガスが加工の前および間にALDリアクタ100に到達することを可能にする又は防ぐための1つ以上のバルブを制御する。1つ以上の種々のガス供給源119は加圧され得、またはされず、そして固体または液体の状態から反応物を蒸発させるために加熱され得る。
記載されるように、処理ガスは、蒸気相またはガス形態における処理化学物質をインレットライン118d経由で堆積チャンバ200中に流動することによって、反応スペースの一部に適用又は再適用され得る。この工程は、図4を参照して、ここにおける以下に説明される。しかし、本発明はそのように制限されない。
もう1つの実施形態において該処理は、堆積チャンバ200の組立ての前または後に反応スペースの一部に直接的に適用または再適用され得る。例えば、液体またはガス状態の処理化学物質は、堆積チャンバ200がそれらのコンポーネントから組み立てられる前に反応スペースの1つ以上のコンポーネントに適用され得る。このプロセスは、図3を参照してここにおいて以下に説明される。このように処理液は、例えばALDリアクタ100の組立て前にミキサーデバイス132に適用され得る。この場合、処理化学物質はまた、ALDリアクタ100の組立ての後に反応スペースの部分に直接的に適用または再適用され得る。この再適用は、例えば図3において分解およびエクスサイチュ処理によって、又は図4における周期的なインサイチュ処理によって、ALD加工のための堆積チャンバ200の使用の後に起こり得る。再処理は、ALDリアクタ100の使用時に最初の処理の効率を維持する。
図2Aおよび2Bは、処理化学物質即ち反応物206と反応スペース表面上の反応性部位間の例示的な化学反応の図面である。図示された実施形態において、反応性部位は、反応スペースの表面201上の−OH表面基(surface group)210を含む。化学反応は、保護層208の形成を結果として生じる。保護層208は、ウエハまたは基板の加工時に処理された表面上に所望されない堆積が形成される可能性を低減するために反応スペースを明確にする選択された表面を不動態化または不活性化する。典型的に、自然に生じる−OH表面基210は、リアクタの作動時に堆積反応物のための反応または吸着部位として働く。このように、表面201上のこれらの反応または吸着部位と結合、占有またそうでなければ不活性化する保護コーティング208の層を形成することによって、これらの同一の反応または吸着部位は反応物ガスに利用できなくなる。所望されない堆積はこのように回避される。さらに、処理されていない反応スペースをパージすることと比較して、処理された表面によって明確にされる反応スペースをパージするためのサイクル時間は、反応物ガスが処理された反応スペースの表面上に吸着する可能性がより低いため、低減される。このように、処理化学物質即ち反応物206は、好ましくは、堆積反応物と非反応性であり、後の加工(例えばALDまたはCVD)に伴う条件下で容易に脱着しない。処理反応物の選択はこのように、部分的に、使用される反応物、及び加工(例えば堆積)が起こる条件に依存する。
保護層208は、堆積チャンバ200の1つ以上の内側の表面(図1)上流のミキサー132、反応物のための任意の共通ライン118z、ウエハ支持体204の曝された表面および/または反応スペース内の他の表面を含む、反応スペース内の1つ以上の表面上、に形成し得る。
好ましくは、望ましい反応スペースの表面は、ウエハ即ち基板をロードすることおよび加工の前に、その上に保護層208を形成するために処理または再処理される。以下の図3の検討からより明らかとなるように、処理は、例えば気相処理反応物206への曝露によって、またはリアクタパーツを処理化学物質の液体溶液中に浸漬することによって、リアクタの組立て前にリアクタパーツにエクスサイチュで与えられ得る。代替的に、図1および4に関連して検討されるように、気相処理反応物206を組み立てられたリアクタ100の反応スペースを通って供給することによって、処理はインサイチュで実施され得る。
本発明の実施形態において、前処理または準備の反応物は、以前に説明された処理反応物を導入する前に反応スペース表面の少なくとも一部に適用される。第二処理ガスは好ましくは処理反応物を適用する前に反応スペースの表面に適用されるとしても、説明を容易にするために、この前処理反応物はまた、“第二(second)”処理ガスとしてここにおいて呼ばれる。前処理反応物の反応スペース表面への適用は、−OH表面基210又は他の反応性部位の最大の充填密度を確保することによって、後の保護層208の形成を促進する。図示された実施形態において、前処理反応物は、例えばオゾン等の酸素を含む。オゾンからの酸素原子は、遊離のH原子と自然に結合し、既存の又は本来の−OH表面基210に加えて、更なる−OH表面基210を形成する。これらの更なる−OH表面基210は、第一処理反応物に後に結合するための、反応スペース表面上の更なる反応性部位を形成する。この方法において、保護層を形成する分子は、反応スペース表面上により密に詰められる。処理反応物206が後に反応スペース表面に提供される時、処理反応物は、全ての存在する反応部位の完全な不活性化を確実にするために、完全にヒドロキシル化された表面の−OH表面基210と化学的に反応し得る。
−OH表面基はここにおいて反応表面上の反応性部位として検討されたが、幾つかのアレンジメントにおいては、異なる表面基が反応性部位として働くことに注意すべきである。このように、処理化学物質による化学吸着に感受性の強い、自然に起こる又は意図的に生成された表面基は、例えば窒素、水素、又はハロゲン化物の末端を含み得る。従って、このような反応性基の密度を最大限にするための前処理は、水素保有反応物(例えばH、HF等)、窒素保有反応物(例えばNH、ヒドラジン等)およびハロゲン化物保有反応物(例えばNF、CF又は他のクロロ−或いはフルオロカーボン等)への暴露を含み得る。さらに、ここにおける上記の反応性末端のリストは、限定的なリストではなく、反応性部位は、異なるタイプの表面基の混合を含み得る。任意の前処理ステップは、使用される場合、後の処理反応物によって完全な表面パシベーションを確実にするために、反応性部位の利用可能性を増加および好ましくは最大限にし得る。これは、リアクタ表面上の処理反応物の充填密度を改善し、典型的により安定で効果的である不活性化膜を結果として生じる。
さらに、又は代替的に、存在する反応部位のより完全な不活性化は、処理反応物の反応スペース表面へのパルスによる(pulsed)曝露によって促進され得る。ALDの技術分野において知られるように、ALDの1サイクルは、典型的に堆積される材料の完全な分子の単層を結果的に生じない。むしろ、気相反応物に接着したリガンドによる立体障害(典型的に、反応物が目的の基板上に吸着する時に、リガンドが依然として接着している)が、幾つかの潜在的な反応部位を塞ぐ。後の反応物はリガンドを取り除き、更なる利用可能な反応部位を曝すが、更にもう1つの吸着パルスがそれらの反応性部位の幾つかを塞ぐ。従って、平均して、多くのサイクルが完全な分子の単層を得るために必要とされ得る。実際には、この現象はそれ自体を、サイクル毎のオングストロームにおける堆積速度という形態で示し、これは堆積される材料の格子定数よりも顕著に低い。
同様に、処理反応物が、反応スペース表面上の反応性部位上の吸着によって機能する場合、表面が前処理されたか、されていないかにかかわらず、立体障害は全ての存在する反応性部位の完全な占有を妨げ得る。従って、反応スペース表面の処理反応物への曝露を反復的にパルスすることは有利であり得る。例えば、インサイチュ処理において、処理は、処理化学物質への長期の曝露、またはパージングステップによって分けられた複数の処理反応物パルスを含み得る。
図2Aに図示される例証的な処理反応物206は、化合物アルキルジシラザン(alkyldisilazane)である。しかし、本発明は、図2Aに図示される化合物に限定されるのではない。以下に説明されるように、表面の反応部位を不活性化することができる他の化合物が使用され得る。例えば、ウエハの選択された部分上の選択的なALDのためのプロセスはカリフォルニア州スタンフォードのスタンフォード大学のCollin Mui, Stacey F. Bent, および Charles B. Musgraveによる、“Surface Modification for Selective Atomic Layer Deposition of High−k Dielectric Materials”と題され、2002年に出版されたプレゼンテーション(以下“Mui等”)およびカリフォルニア州スタンフォードのスタンフォード大学のRong Chen,Hyoungsub Kim, Stacey F. Bent, および Paul C. McIntyreによる、“Surface Modification for Area−selective Atomic Layer Deposition”と題され2003年に出版されたプレゼンテーション(ここにおいて以下“Chen等”)において開示される。両方のリファレンスはその全体が本明細書に援用される。例証的な化合物は、孤立電子対および/または反応性ハロゲン基を含み得る。
Chen等のプロセスにおいて、処理反応物は、望ましくは、存在する反応性部位を完全に占有する方法で基板と反応し、リアクタの作動条件下(例えば、ALDから好ましくは200℃〜500℃、より好ましくは250℃〜400℃)で安定に存続する。実験は、ガス相(例えば、トリクロロエチルシラン、クロロトリメチルシラン、ブロモトリメチルシラン)において直ぐに送達可能であるような小さな処理反応物分子を用いて実施された。Chen等は、これらの処理は、所望される基板の表面を完全には覆わないか、若しくはALD条件下で直ぐに蒸発し過ぎることを見出したが、熟練の技術者は、このような処理反応物でさえも、リアクタ壁上のビルドアップを減少させることによってリアクタの性能を向上すると容易に理解する。さらに、ガス相の送達の有効性は、処理化学物質の適切な選択、送達プロセスの最適化、前処理の使用、パルス処理、より頻繁な再処理などによって改善され得る。
より高密に基板表面を覆い、脱着なしでより高い温度に耐えるために、Chen等は、液相中により典型的に提供される分子、自己組織化単層(SAM)を生成するためにより特異的に重合可能な分子を調査した。以下により詳細に説明されるように、例えばオクタデシルトリクロロシラン(CH−(CH17−SiCl又は省略してODTCS)等のシリル化剤が使用された。
図2Aにおいて、保護層208を形成する化学反応は未だ起こっていない。従って、図2Aにおける表面は未処理の状態である。この未処理の状態において、表面201は、好ましくは、1つ以上の−OH表面基210(又は他の反応性部位)を含む。この−OH基210は処理ガス206と反応可能である。この−OH基210が処理ガス206と反応することによって不活性化されない場合、次いで後のウエハ加工時に−OH基は反応物ガス(例えばCVDまたはALD前駆体)と反応し得る。
CVDはCVDリアクタの反応表面(reaction surface)上で反応物の熱分解を起こすために高温を必要とするため、ALDリアクタ表面と比較して、異なる基準がCVDリアクタ表面用の処理反応物の選択に使用され得る。CVDにおいて、基板即ちウエハ表面は熱システムによって高温に供され、それによって反応物ガスがその上で分解する。CVDリアクタのデザインに依存して、熱システムは、基板の表面に加えて、反応スペース表面の表面温度を上昇し得る。反応スペースの温度におけるこの上昇は保護層を脱着し得る。しかし、CVDリアクタにおける保護層のライフサイクルを向上させるために、熱管理ステップが取られ得る。例えば、コールドウォールサーマルシステムが、他の表面を比較的低温に維持しながら、基板および基板支持体への熱を制限するためにCVDリアクタ中に取り込まれ得る。代替的に、処理反応物は、反応スペース表面により強く結合するように選択され得る。
ウエハの加工時に、−OH基210(又は他の反応性部位)と堆積反応物間で反応または化学結合が形成することを防ぐために、−OH基と反応することによって、処理反応物206の一部が表面201に結合する。例えば、処理反応物206のために選択される化合物がアルキルジシラザンである場合、反応スペース表面201上の−OH基210の酸素原子はアルキルジシラザンのケイ素原子と化学結合を形成し、これによってアンモニア(NH)を遊離する。これらのケイ素原子と酸素原子間の化学結合の形成および非反応性有機テール(organic tail)は、図2B中に図示されるように表面201上の保護層208を形成する。保護層208は5分子の単層よりも小さく、好ましくは厚みが約2つの単層以下であり、最も好ましくは、厚みが反応物分子206の約1つの単層を示すことに留意すべきである。当業者によって理解されるように、好ましくは、吸着プロセスの自己飽和性質に起因して保護層208は目的の反応スペース表面201を均一に覆う。一度形成されると、表面201は処理された状態であり、ウエハ加工時にその上に堆積を形成する可能性はより低い。Chen等の有機処理反応物によって生成された保護層208は、プロセシングガスが表面201上の特定のタイプのALD化学物質によって堆積を抑制するための疎水性の表面を作り出す。
化合物アルキルジシラザンは処理反応物206のための例示的な化合物である。上記の援用されたChen等によるプレゼンテーションにおいて説明されるように、他の例示的な化合物には、オルガノシランおよび反応性ハロゲン基を有する化合物が含まれる。例示的なオルガノシランは、アルキルジシラザン、アリールジシラザンおよびアルキルアリールジシラザン(化学式1);およびアルキルハロシラン、アリールハロシラン並びにアルキルアリールハロシラン(化学式2)である。処理反応物の化学的選択は、典型的にALDリアクタ用の作動条件に基づく。例えば、堆積プロセスが400℃で起こり、例えばHClのような腐食性の副産物を生じる場合、リアクタ表面上に形成される処理膜は、分解又は反応することなくこのような条件に耐えることができるに違いない。リアクタ表面上のパッキング密度はまた化学的選択のための重要な基準である。
Figure 0004959333
式(1)において、R〜Rは各々個別にC〜CアルキルまたはC〜C10アリールである。式(1)は、R〜Rがアルキルであるアルキルジシラザン、R〜Rがアリールであるアリールジシラザン、およびR〜Rの少なくとも1つがアルキルでありR〜Rの少なくとも1つがアリールであるアルキルアリールジシラザンを含む。
(2) R SiX4−p−q−r
式(2)において、R〜Rは、各々個別に、C〜C20アルキルおよびC〜C10アリールからなる群から選択され;ここでp、qおよびrは各々0,1,2、又は3(但し、1≦p+q+r≦3);およびここで各々のXはハロゲン原子である。式(2)は、R〜Rがアルキルであるアルキルハロシラン、R〜Rがアリールであるアリールハロシラン、およびR〜Rの少なくとも1つがアルキルでありR〜Rの少なくとも1つがアリールであるアルキルアリールハロシランを含む。
ハロゲン化物末端を有する大きな有機分子は、リアクタ表面上に自己組織化単層(SAM)を形成し得、それは非常に安定で表面から容易に脱着しない。さらに、大きな有機テールは、立体障害効果を与え、ALD反応物がリアクタ表面に到達することを可能にしない。上記で検討したように、後の作動時に堆積反応物のための核を別の方法で形成し得る反応性部位の占有を最大限にするために、立体障害の影響は最小限に抑えられ得るが、幾つかの状況において、立体障害は安定でより完全なカバレージを提供し得る。SAMsを形成する大きな反応物は、例えば、Chen等によって開示される化合物オクタデシルトリクロロシラン(octadecyltricholorosilane)(ODTCS)等の立体障害を通じて保護効果を高め得る。
図2Cおよび2Dは、SAMsを形成する大きな分子(特にODTCS)を有する処理を図示する。図2Cに示される処理反応物206はODTCSを含む。典型的に、反応スペース表面201はODTCSの液体溶液に曝され、結果として生じる保護層208は大きな有機リガンド即ち“テール(tail)”212を含む。これらのテール212によって供給されるバルクは保護層208の下に占有されていない任意の残存する反応性部位210を保護し、このようにして任意の堆積反応物214によるアクセスをブロックし、堆積を妨げる。さらに、テールのサイズおよび相対的な疎水性は、分子のSAMsへの自発的且つ安定な一方向の組織化を促進する。大きな分子量はまた、より熱安定性のある保護膜208に対応する傾向がある。より小さな反応物分子もまたSAMsを形成し得、リアクタ表面の不活性化のためのインサイチュ処理のためにガス相においてより容易に送達されることに留意すべきである。
処理反応物206が、保護層208を形成するために表面201と化学的に反応した後、リアクタは組み立てられ(エクスサイチュ処理において必要な場合)そして基板は堆積チャンバ200中にロードされる。一度ロードされると、ウエハ即ち基板の加工が始まり、そしてここで説明される。
堆積反応物ガスがALDリアクタ100を通って循環される順序は、所望される産物に依存する。各々のガスが堆積チャンバ200に入る前の1つ以上の反応物ガス間の如何なる相互作用も最小限に抑えるために、パージガスが、好ましくは、反応物ガスのパルス間にALDリアクタ100を通って周期的に循環される。この方法において、不活性なガスは、過剰な反応物ガスの反応スペースおよび任意の副産物をパージすることができる。種々の反応物ガスおよびパージガスが、ウエハ上に1つ以上の単層を形成するためにALDリアクタ100を通って体系的に循環される。当該技術分野において知られる他の技術が、堆積チャンバ200をパージングする代わりに、又は追加として、堆積チャンバ200から反応物ガスを除去するために使用され得る。例えば、第一反応物ガスは、第二反応物ガスを導入する前に、堆積チャンバ200から排気され得る。
堆積反応物ガスは基板の表面上に吸着または反応する。図2Bに図示される保護層は、表面201上に予め形成されたので、反応物ガスは、反応スペースの表面と反応する又は接着するよりもむしろ、優先的に基板上に吸着又は反応する。過剰な反応物ガスおよび任意の反応の副産物は、反応物のパルス時、若しくは後の反応物除去ステップ時に排気ライン120経由で堆積チャンバ200から出る。反応物の排気ライン表面への接着はまた、ここに説明される処理によって最小限に抑えられることに留意すべきである。
図3は、保護層を反応スペースの表面に適用するエクスサイチュ処理プロセスを含むプロセスのフローチャートである。以下のプロセスはCVD又はALDリアクタに適用され得る。プロセスは、リアクタの組立て前に、1つ以上のリアクタパーツの1つ以上の部分を処理すること302によって始まる。処理302は、好ましくは、一度組み立てられると少なくとも部分的に反応表面を明確にするリアクタパーツの部分に適用される。例えば、保護層はトップ部材110(図1)の内側の表面に適用され得、外側の表面は反応物に曝されないため、トップ部材110外側の表面には適用されない。しかし、幾つかのプロセスにおいて、それは処理をトップ部材110の特定の表面又は範囲に対してよりもむしろ、トップ部材110全体に施すための処理プロセス時間を低減し得る。好ましくは、処理は、そこに付着する反応物に感受性のある少なくとも反応スペース表面の部位に適用される。コンピュータまたはオペレータ制御下の装置は、処理の適用を実施できる。図3において使用されるように、“処理(treatment)”は保護層を残す実際の処理に加えて、準備および前処理を包含し得る。代替的に、処理は技術者によって1つ以上の反応物コンポーネントに適用される。上記に与えられた例において、処理302はリアクタパーツをODTCSの液体溶液に浸漬することを含み、リアクタ表面上に自己組織化単層(SAMs)の形成を引き起こす。
次にリアクタは組み立てられる304。一度組み立てられると、共に処理されたリアクタパーツの1つ以上の部分が、反応スペースの少なくとも一部を形成する。プロセスは、堆積チャンバ200中の基板支持体204上にウエハ即ち基板をロードすること306によって継続する。
図3のブロック308、310、312および314は、例証的なALDプロセス315を図示する。ALD制御システムは、基板を、第一反応物インレットライン経由で堆積チャンバに導入される第一反応物に曝露する308。一度堆積チャンバ中に入ると、第一反応物は基板の表面上に吸着する。以前の処理302に起因して、曝露のステップ308における吸着は基板に対して選択的であり、反応チャンバの処理された表面に対抗することに留意すべきである。第一反応物が基板の表面上に吸着した後、ALD制御システムは、一時的に第一反応物の堆積チャンバへのフローを遮る又は妨げる。機械的なバルブ又は他の手段が、第一反応物の堆積チャンバへのフローを妨げるためにADL制御システムによって利用され得る。例えば、ALD制御システムは、ボール、針又は第一反応物が堆積チャンバに入ることを妨げるようにデザインされた他の機械的なバルブを使用し得る。機械的手段に加えて、ALD制御システムは、第一反応物が堆積チャンバに到達するのを防ぐために拡散バリアを作り得る。このような実施形態において、インレットライン自体の中で拡散バリアを形成するために、不活性な(inert or inactive)ガスがインレットラインの一部を通って逆方向に優先的に流動される。
過剰な第一反応物および反応スペースからの任意の副産物は、堆積チャンバから除去される310。パージステップが、過剰な第一反応物および任意の副産物を除去するために使用され得る。パージが使用される場合、ALD制御システムはパージガスをパージインレットラインを通って堆積チャンバ中に流動する。パージガスは、非反応性であり、基板の表面上に十分に吸着しなかった第一反応物の任意の部分を置き換えるように設計される。第一反応物およびパージガスのこの部分は、排気ライン120を経由して堆積チャンバから排気される。
該プロセスは、第二反応物インレットラインを経由して堆積チャンバ中への第二反応物ガスに基板を曝すこと312によって継続する。一度堆積チャンバ内に入ると、第二反応物ガスは、基板の表面上に所望される材料の単層(又は、立体障害による部分的な単層)を形成するために、第一反応物の吸着した種と反応する。更に、以前の反応物のパルス時に処理された反応スペース上に第一反応物が殆ど又は全く吸着しなかったため、および、保護単層を残した処理が堆積のための条件下で第二反応物ガスと非反応性となるように選択されたため、第二反応物の反応は、反応チャンバの処理された表面と比較して、基板に選択的である。第二反応物および吸着した第一反応物が共に、基板の表面上に所望される材料の第一単層を形成した後、ALD制御システムは第二反応物ガスの堆積チャンバ200へのフローを一時的に遮る又は妨げる。機械的なバルブまたは他の手段が、堆積チャンバ200への第二反応物ガスのフローを妨げるためにALD制御システムによって利用され得る。例えば、ALD制御システムは、ボール、針、又は第二反応物ガスが堆積チャンバ200に入るのを排除するようにデザインされた他の機械的なバルブを使用し得る。機械的手段に加えて、ALD制御システムは第二反応物ガスが堆積チャンバ200に到達することを防ぐための拡散バリアを作ることができる。このような実施形態において、不活性なガスは、インレットラインそれ自体の中に拡散バリアを形成するためにインレットライン118bの一部を通って逆方向に優先的に流動される。
過剰な第二反応物および反応スペースからの任意の副産物は、堆積チャンバ200から除去される314。パージステップが過剰な第二反応物および任意の副産物を除去するために使用され得る。パージが使用される場合、ALD制御システムは、パージガスをパージインレットライン118cを通って堆積チャンバ200中に流動する。パージガスは非反応性であり、基板の表面上の所望される材料の形成のために第一反応物と反応しなかった第二反応物の任意の一部を任意の副産物と共に置き換えるようにデザインされる。第二反応物、任意の副産物およびパージガスのこの部分は、排気ライン120経由で堆積チャンバ200から排気される。
所望される材料の第一単層が基板上に形成された後、ブロック308、310、312および314を反復することは、第二単層を形成し得る。基板上に所望される材料の望ましい数の単層が一度形成されると、制御システムは堆積チャンバ200から基板即ちウエハをアンロードする316。
もう一度図1を参照して、ALDのより詳細で具体的な例が説明される。表面の不活性化処理の後、ALD制御システムは、1つ以上の基板をALDリアクタ100の堆積チャンバ200中にロードする。一度ローディングが完了すると、ALDプロセスが開始し得る。2つの反応物ガスおよびパージガスを取り込む例示的なプロセスは、酸化アルミニウム(Al)の一連の単層を形成する。この例示的なALDプロセスは、トリメチルアルミニウム(TMA)およびHOを反応物ガスとして利用する。パージガスは窒素(N)である。上記に説明されるように、より複雑なプロセスは、基板上に形成される所望される単層に依存して、反応物除去ステップ(例えばパージパルス)によって分けられ、相応したより多くのインレットライン118a−nを伴って、3つ又はそれ以上の反応物を使用し得る。
この例示的なALDプロセスは、基板の温度を反応物の凝縮温度よりも高いが熱分解温度よりも低くに設定することで開始する。第一反応物のパルスはTMAが堆積チャンバ200中にインレットライン118a経由で導入されることで開始し得る。一度堆積チャンバ200中に入ると、TMAは基板の表面上に化学的に吸着し、典型的に1以下の単層を残す。TMAが基板の表面上に吸着した後、ALD制御システムは一時的にTMAの堆積チャンバ200へのフローを遮り又は妨げる。TMAの堆積チャンバ200へのフローを妨げるために、機械的なバルブ又は他の手段がALD制御システムによって利用され得る。例えば、ALD制御システムは、ボール、針、又はTMAが堆積チャンバ200に入ることを排除するようにデザインされた他の機械的なバルブを使用し得る。機械的な手段に加えて、ALD制御システムは、不活性ガスバルビング(valving)のバージョンを使用して、TMAが堆積チャンバ200に到達することを防ぐための不活性ガスの拡散バリアを生成し得る。D.T.J. Hurleによって編集されたT.Suntola, Handbook of Crystal Growth III,Thin Film and Epitaxy,Part B: Growth Mechanisms and Dynamics,チャプター14、Atomic Layer Epitaxy,Elsevier Science V.B. (1994)ページ601−663(その開示は本明細書においてリファレンスとして援用される)を参照。特にページ624〜626を参照。このような実施形態において、吸い込みラインそのものの中に拡散バリアを形成するために、不活性ガスは第一反応物のインレットライン118aの一部を通って優先的に逆方向に流動される。
ALD制御システムが、TMAが堆積チャンバ200に到達することを遮り又は妨げた後、過剰な反応物および任意の副産物は堆積チャンバ200から除去される。ALD制御システム150は、パージガスをパージインレットライン118cを通って、堆積チャンバ200中に流動する。Nガスは非反応性であり、基板の表面上に十分に吸着しなかったTMAの任意の部分を置き換えるようにデザインされる。TMAのこの部分、任意の副産物、及びNは排気ライン120経由で堆積チャンバ200から排気される。
一度堆積チャンバ200がパージされると、ALD制御システムは、第二反応物のパルス(ここにおいては気相HO)を第二反応物インレットライン118b経由で堆積チャンバ200中に導入する。一度堆積チャンバ200に入ると、HOは基板の表面上に酸化アルミニウム(Al)の単層を形成するために吸着したTMAと化学的に反応する。HO及び吸着したTMAが共に基板の表面上にAlを形成した後、ALD制御システムは、HOの堆積チャンバ200へのフローを一時的に遮る又は妨げる。HOの堆積チャンバ200へのフローを妨げるために、機械的なバルブ又は他の手段がALD制御システム150によって利用され得る。例えば、ALD制御システム150は、ボール、針、又はHOが堆積チャンバ200に入る事を排除するようにデザインされた他の機械的なバルブを使用し得る。機械的な手段に加え、ALD制御システムは不活性ガスのバルビングを使用し得る。ALD制御システム150は異なる手段を使用し得、それにより該システムはTMA及びHOが堆積チャンバ200に到達することを妨げる。
ALD制御システム150が、HOが堆積チャンバ200に到達するのを遮り又は妨げた後、任意の過剰な反応物および副産物は堆積チャンバ200から除去される。ALD制御システムは、Nガスをパージインレットライン118cを通って、堆積チャンバ200中に流動する。Nガスは非反応性であり、基板表面上のAlの形成からの任意の副産物と共にTMAと反応しなかったHOの任意の部分を置き換えるようにデザインされる。HOのこの部分、任意の副産物、及びNは排気ライン120経由で堆積チャンバ200から排気される。Alの第一単層が基板上に形成された後、第二層が形成され得る。説明されるように、ALD制御システム150は第一単層の形成時に使用されるように、第二単層の形成のために同様のステップを利用する。
第二単層を形成するために、TMAは第一反応物インレットライン118a経由で堆積チャンバ200中へ再導入される。一度堆積チャンバ200中に入ると、TMAは第一単層の表面上に化学的に吸着する。TMAが第一単層の表面上に吸着した後、ALD制御システムはTMAの堆積チャンバ200へのフローを一時的に遮り又は妨げる。ALD制御システム150はNパージガスをパージガスインレットライン118cを通って堆積チャンバ200中に流動する。堆積チャンバ200が一度パージされると、ALD制御システムは、第二反応物、ここではHOを、第二反応物インレットライン118b経由で堆積チャンバ200中に導入する。一度堆積チャンバ200中に入ると、HOは第一単層の表面上に酸化アルミニウム(Al)の第二単層を形成するために吸着したTMAと化学的に反応する。HO及び吸着したTMAが一緒に第一単層の表面上にAlを形成した後、ALD制御システム150はHOの堆積チャンバ200へのフローを一時的に遮り又は妨げ、そして堆積チャンバ200はパージされる。
一度第二単層が基板上に形成されると、ALD制御システム150は、所望される膜厚が達成されるまで、パージステップによって隔てられた堆積チャンバ200中へのTMA及びHOの再導入によって、上記に説明されたサイクルを三回反復し得る。上記に示されるように、1単層よりも少ないものが典型的にサイクル毎に形成する。これは、全ての理論的に利用可能な反応部位が、実際にプロセス時の反応のために利用可能ではない事実に起因する。典型的に、自己制御または飽和反応(saturative reactions)を促進するリガンド(例えばTMAからのメチル基またはTiClからの塩素基)は、立体障害によって物理的な空間を占める。従って、平均成長速度は、サイクル毎に所望される材料の完全な分子単層よりも遥かに低いかもしれない。例えば、TMA((CHAl)およびHOからのAlのALDは、典型的に約1Å/サイクル、又は単層当たり約3〜4サイクルである(Alは約3Åのバルク格子定数を有する)。各々のTMAパルスによって残されたメチル末端は、存在する化学吸着部位の数を、各々のパルスで形成する完全な単層よりも少ないように、減少させる。
ALDを使用して基板上に形成し得るもう1つの例示的な単層はTiNである。TiNを形成する1つのプロセスは、第一反応物ガスがTiClであり、第二反応物ガスがNHであることを除いて、酸化アルミニウムを形成するための上記に説明されたプロセスと類似である。
更にALDプロセスは、基板即ちウエハ上に異なる単層の膜を形成するために、二つよりも多い反応物ガスをALDリアクタ100を通って連続的に流動する。各々の反応物ガスは、堆積チャンバ200中で吸着し又は反応した後に、排気ライン120経由で後に排気される。3つ以上の反応物が、同一の連続的なサイクルにおいて使用され得、又は堆積した材料の組成を作製するために異なるパルスが異なるサイクルにおいて使用され得る。
例えば、第一、第二、又は後の単層がウエハ即ち基板上に形成された後、ALD制御システムは第三の反応物ガスを堆積チャンバ200中に導入し得る。この第三の反応物ガスは、堆積チャンバ200中で以前の単層を形成するために使用される最初の2つのガスとは異なるガスであり得る。この第三の反応物ガスは、以前に形成された単層の表面に吸着するか、またそうでなければ反応し得る。一度第三反応物ガスのフローが堆積チャンバ200へ到達することを妨げられると、先に説明された堆積チャンバ200中への第一および第二反応物の導入後に実施されるパージステップに類似のパージステップが起こる。しかし、ここに説明される任意のプロセスのためのパージガスは上記に説明されたNのパージガスに制限されるのではない。任意の過剰な反応物ガス及び副産物を堆積チャンバ200から排気するために、他の不活性なガスが、単独で又はNパージとの組合せで使用され得る。単層の所望される化学的組成に依存して、第一又は第二反応物ガス、若しくは異なる第四反応物ガスの再導入が、第三反応物ガスのパージングに続き得る。
更に、このようなALDシステムを用いてナノラミネート(nanolaminates)および混合した膜が成長し得る。ナノラミネートは異なる膜の多層を有する膜である(例えば、5ÅのAlを形成するためのTMAおよびHOの5サイクルに、10ÅのHfOを形成するための塩化ハフニウム及び水の20サイクルが続き、反復される)。混合したALD膜は、好ましくは、例えば順にTMA,HO,HfCl,HOであるパルシング(pulsing)によって堆積されたアモルファス膜である。
図4は、ALDリアクタ100が組立てられた後に反応スペースの表面を不活性化するインサイチュ処理プロセスのためのフローチャートである。図示されたプロセスは、例えばエクスサイチュ処理されたリアクタ部分の再処理のためのような、図3のプロセスと共に適用され得る。
プロセスは、ガス分配システムが1つ以上の処理ガスを堆積チャンバに流動すること402によって開始する。ガス分配システムは各々の処理ガスをALD加工のための共有のインレットライン経由で堆積チャンバに流動し得る。例えば、どの処理ガスが選択されるかに依存して、堆積チャンバの表面上に見出される任意の既存の化学物質との組合せで単一の処理ガスが、それら同一の表面に接着する反応物ガスに対する保護層の形態でバリアを形成するために、反応部位においてそれらの表面に望ましく接着し得る。ウエハ即ち基板の加工時に処理された表面上に所望されない堆積が形成する可能性を低減するために、保護層は堆積チャンバの表面を不動態化又は不活性化する。このように、反応スペース表面上のこれらの反応または吸着部位を結合または占める保護コーティングの層を形成することによって、これらの同一の反応または吸着部位は反応物ガスに対して使用可能でなくなる。有利なことに、未処理の反応物スペースのパージングと比較して、処理された堆積チャンバをパージするサイクル時間は、反応物ガスが処理された反応スペースの表面に接着する可能性がより低いために、低減される。
図4において使用されるように、処理402は第二処理ガス上に前処理の使用を包含し得る。この第二処理ガスは、上記に説明される第一処理ガスの前または組合せで、堆積チャンバ中に導入され得る。本発明の1つの実施形態において、この前処理ガスは酸素含有ガスである。酸素含有ガスは第一処理ガスを導入する前に反応チャンバ中に導入される。酸素含有ガスは、有利なことに、堆積チャンバのそれら表面上に既存の化学物質と化学結合を形成することによって、堆積チャンバを含む反応スペースの表面を準備する。一度酸素含有ガスがこれらの化学結合を形成すると、堆積チャンバの表面は、第一処理ガスにより敏感になり、それら同一の表面に接着する反応物ガスに対してより密に詰まったパシベーションを形成する。
過剰な処理ガス及び反応スペースからの任意の副産物は、反応スペースから除去される404。パージステップはこの除去プロセスのために使用され得る。パージステップが使用された場合、ALD制御システムはパージガスをパージインレットラインを通って堆積チャンバに流動する。パージガスは非反応性で、処理ガスの任意の過剰な部分を置き換えるようにデザインされる。処理ガスのこの過剰な部分およびパージガスは排気ライン経由で堆積チャンバから排気される。上記に示されるように、反応スペース表面上の反応性部位の占有を最大限にするために、処理402及びパージング404の間に循環することが望ましいかもしれない。
プロセスは、堆積チャンバ中の基板支持体上に基板のローディング406に続く。次いで、堆積408が実施される。インサイチュか、又はエクスサイチュ処理に続く堆積は、代替的にCVDの形態であり得るが、堆積408プロセスは、図3のステップ308、310、312および314を参照して以前に説明されたものと同一のプロセスであり得る。堆積408は、好ましくは、処理された反応スペース表面と比較して、基板に選択的である。基板上に望ましい材料の所望される厚みが一度形成されると、基板即ちウエハは堆積チャンバから除去される310。
終結412は、リアクタが再処理または周期的処理について十分であろうがなかろうがなされる。例えば、再処理または周期的処理は、ALDリアクタが選択された数のウエハを加工した後、又は時間の選択された期間が経過した後に、実施され得る。この期間は、ALDリアクタのための作動時間の数または経過したカレンダー時間に基づき得る。堆積チャンバおよび他の反応スペース表面の処理または再処理は、ALDリアクタの直接的または間接的な検査に応じて実施され得る。例えば、直接的な検査は、所望されない堆積のための堆積チャンバの表面の視覚的検査であり得る。反応スペースの表面を調査することよりもむしろ、又は追加的に、加工されたウエハおよび/または排気ガスは、不均一性、乏しいデバイスの性能および/または高い粒子数計測について検査され得る。基板上の望ましくないCVDモードの反応、又は反応スペース表面からの望ましくない堆積の層剥離によって起こされる汚染に起因していようが、上記の何れもが、リアクタの壁上の堆積に対する不十分な選択性の指標となり得る。
リアクタが再処理に帰する場合、プロセスは、上記で説明されるように、処理ガスが反応スペースを通って流動される処理402に戻る。再処理は、ALDリアクタ100の使用時の最初の処理の有効性を維持する。同様に、図3のエクスサイチュ処理プロセスは、リアクタの分解、処理、再組立てによって周期的に反復され得る。
決定ブロック(decision block)412に戻って、リアクタが再処理に帰さない場合、プロセスは、1つ以上の基板を堆積チャンバ200中にロードすること406に戻る。プロセスは、次いで上記に説明されるように堆積408プロセスに移動する。
図5は、図3および4よりもより一般化されたプロセスフローを図示する。図示されるように、反応スペース表面は反応スペース表面上の反応性部位の密度を最大にするために必要に応じて前処理される502。このような前処理502は、上記に説明されるように、インサイチュ又はエクスサイチュで実施され得る。前処理502は任意であり、反応スペース表面上に十分な反応性部位が自然に存在する場合において削除され得る。
任意の前処理502に続いて、反応スペース表面は将来的な反応に対してパシベートするために処理される504。図示された実施形態において、処理化学物質は、反応性部位を占め、比較的非反応性の表面末端を残す。“非反応性(non-reactive)”とは、後の堆積反応時の化学物質、温度及び他の条件に関してである。
処理504に続いて、リアクタは後のプロセシングのために準備される506。処理がエクスサイチュで実施される場合、リアクタの準備506はエクスサイチュで処理されたリアクタの部分の幾らかの組立てを含み得る。他方、処理が図4の実施形態に従ってインサイチュで実施される場合、準備506は反応スペースからの処理化学物質のパージングを必要とし得る。
準備506に続いて、堆積の実行508は、一連の基板上で実施される。初めに、1つ以上の基板が反応スペースにロードされる510。選択的な堆積512が次いで基板上で実施される。“選択的な堆積(selective deposition)”とは、当該技術分野において良く知られる用語であり、典型的に、1つの表面が好ましくは他の表面が受ける堆積速度の5倍よりも大きいものを受ける(好ましくは10倍よりも大きい)プロセスを指す。このように当業者は、処理504は堆積の化学に対して“非反応性”の表面末端を残すが、幾らかの堆積はこのような処理された反応スペース表面上でさえ起こり易いことを容易に理解するであろう。にもかかわらず、堆積プロセスの相互に反応性化学物質間での所望されない反応を依然回避する一方、リアクタの部分の堆積を低減すること、そしてまた低減されたパージングを可能にすることにおいて、相当の利益が不活性化処理504によって得られる。低減されたパージ時間という後者の利益は、ALDプロセスについて特に有益であり、ここにおいてガス相のミキシングは、堆積のための反応物のパルスの前にチャンバの外に反応物をパージすることによって望ましく回避される。これは、パージの時間の低減によって、より速い基板のプロセシングを可能にする。
選択的な堆積512に続いて、基板がアンロードされ514、新たな基板のためにプロセスが繰り返される516。反復516は十分な純度が得られる限り継続する。周期的に、反応スペース表面は再処理されるべきであり、典型的には、任意の堆積物を除去するためのクリーニングプロセス(示されていない)、或いは更なるパシベーションステップ(例えば、金属表面上に酸化アルミニウムを適用すること)によって先行され、任意の前処理502及び処理504が続く。有利なことに、ここに説明される処理504は、処理なしでの進行に対して、リアクタのクリーニングを必要とする前に、長い堆積の実行(即ち、より多数の反復516)を可能にする。代替的に、リアクタが、インサイチュでのエッチサイクルがリアクタ表面を周期的に清浄するために使用される方法で運転される場合、処理された反応スペース表面に対して、処理504が基板上の選択的な堆積512を可能にするという事実によって、より頻度の少ない、より短い又はより激しさの低いクリーニングサイクルが可能にされる。
上記の詳細な説明は、種々の実施形態に適用される本発明の新規な特徴を示し、説明し、そして指し示したが、リアクタ又は図示されたプロセスの形態及び詳細における種々の省略、置換、及び変更が、本発明の目的から脱すること無く当業者によってなされ得ることが理解される。本発明の範囲は、前記の説明よりもむしろ添付の特許請求の範囲によって示される。特許請求の範囲と同等の意味及び範囲内に収まる全ての変更は、それらの範囲に包含される。
図1は、ALDリアクタの概略的断面図である。 図2A及び2Bは、結果として反応スペース中のリアクタ表面の不活性化を生じる、例示的な化学反応の略図である。図2C及び2Dは、各々他の処理化学物質およびリアクタ表面に結果的に生じる保護層の略図である。 図3は、堆積プロセスが続く、反応スペースの表面を不活性化するエクスサイチュの処理プロセスのためのフローチャートである。 図4は、堆積プロセスが続く、反応スペースの表面を不活性化するインサイチュの処理プロセスのためのフローチャートである。 図5は、堆積ランに関連してリアクタのパーツの不活性化処理及び任意の前処理を含む再処理を示すために一般化されたフローチャートである。

Claims (26)

  1. 有機化合物からなる処理化学物質に反応スペース表面を接触させ、それによって該反応スペース表面に保護層を形成し、ここで該保護層が自己組織化した単層(SAM)であること;
    接触の後に該反応スペース中に基板をロードすること;および
    反応物ガスを、該保護層と比較して優先的に該基板と反応させ、それによって該基板上に層を選択的に堆積すること;
    を含む、反応スペース表面を有する成長リアクタを作動する方法。
  2. 堆積することが、同一の条件下での未処理の反応スペース表面上の堆積と比較して、接触された反応スペース表面上の顕著に軽減された堆積と共に基板上に該層を選択的に堆積することを含む請求項1に記載の方法。
  3. 堆積することが、選択的な原子層堆積プロセスを実施することを含む請求項2に記載の方法。
  4. 該原子層堆積プロセスが複数のサイクルを含み、各々のサイクルが以下を含む、請求項3に記載の方法:
    第一反応物を該反応スペースに導入し、該第一反応物の少なくとも一部該基板上に吸着させること
    過剰な第一反応物を該反応スペースから除去すること;
    第二反応物ガスを該反応スペース中に導入すること;
    該第二反応物ガス及び該第一反応物ガスの吸着した部分から、該基板の表面上に材料の第一単層を形成すること;および
    過剰な第二反応物を該反応スペースから除去すること。
  5. 各々のサイクルが、第三反応物を該反応スペースに導入すること、及び過剰な第三反応物を該反応スペースから除去することを更に含む、請求項4に記載の方法。
  6. 堆積することが、選択的な化学気相成長プロセスを実施することを含む、請求項2に記載の方法。
  7. 接触することが、該反応スペースを通ってインサイチュで気相の処理化学物質を流動することを含む、請求項1に記載の方法。
  8. 接触することが、リアクタパーツをエクスサイチュで該処理化学物質に曝露することを含む、請求項1に記載の方法。
  9. 接触することの後且つロードすること及び堆積の前にエクスサイチュで処理されたリアクタパーツを該反応スペースを形成するために組立てることを更に含む、請求項8に記載の方法。
  10. 該反応スペース表面および該処理化学物質の間の反応を最大限にするために接触することの前に、反応スペース表面を前処理することをさらに含む、請求項1に記載の方法。
  11. 前処理をすることが、該処理化学物質によって残された保護層の充填密度を向上させるために、該反応スペース表面上の反応性部位の密度を上昇させることを含む、請求項10に記載の方法。
  12. 前処理をすることが、該反応スペース表面を酸素保有反応物に曝露することを含む、請求項11に記載の方法。
  13. 該処理化学物質が、オクタデシルトリクロロシランを含む、請求項1に記載の方法。
  14. 該処理化学物質が、
    Figure 0004959333
    及びR SiX4−p−q−r;ここで、R〜Rは各々個別に、C〜C20アルキル及びC〜C10アリールから成る群から選択され;ここでR〜Rが各々個別に、C〜Cアルキル及びC〜C10アリールから成る群から選択され;ここでp、q、及びrは各々0、1、2、又は3(ただし1≦p+q+r≦3);ここで各々のXは個別にF、Cl及びBrからなる群から選択される、
    から成る群から選択される請求項1に記載の方法。
  15. 複数の基板上で複数の堆積を実施することの後に、該反応スペース表面を再処理することを更に含む、請求項1に記載の方法。
  16. 以下を含む気相成長装置:
    反応スペースを明確にする複数の反応スペース表面;
    該反応スペース中に収容された基板支持構造;および
    該反応スペースを気相成長プロセスのために適した気相反応物の供給源に接続している複数のフィードライン、
    ここで、該反応スペース表面の少なくともいくらかは、改質された表面が該気相成長プロセスに対して不活性化するような表面の改質を含み、該改質された表面が自己組織化した単層(SAM)の保護層からなり、該保護層が吸着した有機反応物に由来する。
  17. 該保護層が、吸着した処理化学物質を含む、請求項16に記載の装置。
  18. 該保護層が、該気相成長プロセスに曝露される疎水性表面を提供する、請求項16に記載の装置。
  19. 該表面の改質が、該気相成長プロセスを該改質された反応スペース表面と比較して、半導体基板に選択的であるようにする、請求項16に記載の装置。
  20. 交互に行われ且つ反復される該気相反応物のパルス(pulses)による原子層堆積のために構成された、請求項16に記載の装置。
  21. 処理反応物の供給源から該反応スペースに導くインレットを更に含み、該処理反応物が、該改質された反応スペース表面に表面の改質を与える、請求項16に記載の装置。
  22. 該処理反応物が有機ケイ素前駆体である請求項21に記載の装置。
  23. 前処理反応物の供給源から該反応スペースへ導くインレットを更に含み、該前処理反応物が、少なくとも幾らかの表面を該処理反応物に対してより反応性があるようにする、請求項21に記載の装置。
  24. 該前処理反応物が、酸素含有反応物を含む、請求項23に記載の装置。
  25. 該表面の改質が、該反応スペース表面を覆う反応性部位を含み、該反応性部位が、−OH、窒素、水素及びハロゲン化物の表面基、並びに反応性部位を占める非反応性表面末端からなる群から選択される、請求項16に記載の装置。
  26. 反応表面の一部を形成する原子層堆積(ALD)リアクタの処理されたコンポーネントであって、該コンポーネントがその上に自己組織化した単層(SAM)の保護層を有する表面の一部を含み、該保護層が、吸着した有機反応物に由来し、且つALD堆積反応物との反応を抑制するように構成されるコンポーネント
JP2006532497A 2003-05-09 2004-04-29 化学的不活性化を通じたリアクタ表面のパシベーション Expired - Lifetime JP4959333B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US46918103P 2003-05-09 2003-05-09
US60/469,181 2003-05-09
PCT/US2004/013166 WO2004102648A2 (en) 2003-05-09 2004-04-29 Reactor surface passivation through chemical deactivation

Publications (2)

Publication Number Publication Date
JP2007501902A JP2007501902A (ja) 2007-02-01
JP4959333B2 true JP4959333B2 (ja) 2012-06-20

Family

ID=33452263

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006532497A Expired - Lifetime JP4959333B2 (ja) 2003-05-09 2004-04-29 化学的不活性化を通じたリアクタ表面のパシベーション

Country Status (6)

Country Link
US (2) US7118779B2 (ja)
EP (1) EP1623454A2 (ja)
JP (1) JP4959333B2 (ja)
KR (1) KR101090895B1 (ja)
TW (1) TWI394862B (ja)
WO (1) WO2004102648A2 (ja)

Families Citing this family (470)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
NO20033207D0 (no) * 2002-07-31 2003-07-15 Per Kristian Egeberg Fremgangsmåte og reaktor for fremstilling av höyrent silisium, samt anvendelse av fremgangsmåten og reaktoren ved fremstilling av höyrentsilisium fra uraffinert silisium
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) * 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
FI117243B (fi) * 2004-06-24 2006-08-15 Beneq Oy Menetelmä materiaalin seostamiseksi ja seostettu materiaali
FI117247B (fi) * 2004-06-24 2006-08-15 Beneq Oy Materiaalin seostaminen selektiivisesti
US20070218290A1 (en) * 2004-06-24 2007-09-20 Beneq Oy Method for Doping Material and Doped Material
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
WO2007016013A2 (en) * 2005-07-27 2007-02-08 Applied Materials, Inc. Unique passivation technique for a cvd blocker plate to prevent particle formation
JP4656147B2 (ja) * 2005-09-13 2011-03-23 日本電気株式会社 多孔質絶縁膜の形成方法および半導体装置
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7595271B2 (en) * 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US8357434B1 (en) * 2005-12-13 2013-01-22 Lam Research Corporation Apparatus for the deposition of a conformal film on a substrate and methods therefor
JP2007281150A (ja) * 2006-04-05 2007-10-25 Tokyo Electron Ltd 処理装置
US7863198B2 (en) * 2006-05-18 2011-01-04 Micron Technology, Inc. Method and device to vary growth rate of thin films over semiconductor structures
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
KR20110039482A (ko) * 2008-08-14 2011-04-18 비덱스 에이/에스 보청기 부품 코팅 방법 및 코팅된 부품을 포함하는 보청기
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
JP5088331B2 (ja) * 2009-01-26 2012-12-05 東京エレクトロン株式会社 熱処理装置用の構成部品及び熱処理装置
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9481937B2 (en) 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5359642B2 (ja) * 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20140242811A1 (en) * 2013-02-27 2014-08-28 United Microelectronics Corp. Atomic layer deposition method
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP2984206A4 (en) * 2013-04-10 2017-01-25 Picosun Oy Protecting a target pump interior with an ald coating
US8993054B2 (en) * 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015132443A1 (en) * 2014-03-03 2015-09-11 Picosun Oy Protecting an interior of a gas container with an ald coating
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
KR20160103611A (ko) * 2015-02-24 2016-09-02 삼성디스플레이 주식회사 증착 장치
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN117334560A (zh) 2016-03-03 2024-01-02 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
JP2019515493A (ja) 2016-04-25 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子層処理のための化学物質供給チャンバ
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11056527B2 (en) * 2016-05-04 2021-07-06 General Electric Company Metal oxide interface passivation for photon counting devices
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6804250B2 (ja) * 2016-09-23 2020-12-23 東京エレクトロン株式会社 減圧乾燥装置、および減圧乾燥方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10752991B2 (en) 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI804369B (zh) * 2017-07-14 2023-06-01 荷蘭商Asm Ip控股公司 用於將自組裝單層沈積於基板之表面上的設備
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11018048B2 (en) 2017-11-21 2021-05-25 Watlow Electric Manufacturing Company Ceramic pedestal having atomic protective layer
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7101551B2 (ja) 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7109397B2 (ja) 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
JP2020147792A (ja) 2019-03-13 2020-09-17 東京エレクトロン株式会社 成膜方法および成膜装置
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP7118023B2 (ja) 2019-03-25 2022-08-15 東京エレクトロン株式会社 成膜方法および成膜装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
DE102019109987A1 (de) * 2019-04-16 2020-10-22 Aixtron Se Verfahren zum Konditionieren einer Substratbehandlungseinrichtung und eine diesbezügliche Vorrichtung
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021044534A (ja) 2019-09-05 2021-03-18 東京エレクトロン株式会社 成膜方法
JP7262354B2 (ja) 2019-09-24 2023-04-21 東京エレクトロン株式会社 成膜方法
JP2021052070A (ja) 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
JP2021057563A (ja) 2019-09-24 2021-04-08 東京エレクトロン株式会社 成膜方法
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112553592B (zh) * 2019-09-25 2023-03-31 中微半导体设备(上海)股份有限公司 一种利用ald工艺对静电吸盘进行处理的方法
CN112553597A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 采用ald技术在输气管道内壁生成抗腐蚀涂层的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070109A (ko) * 2019-12-04 2021-06-14 주성엔지니어링(주) 기판 처리 장치, 기판 처리 장치 마련 방법 및 기판 처리 방법
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
JP2022091523A (ja) 2020-12-09 2022-06-21 東京エレクトロン株式会社 成膜方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022137698A (ja) 2021-03-09 2022-09-22 東京エレクトロン株式会社 成膜方法および成膜システム
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
JP2023002200A (ja) 2021-06-22 2023-01-10 東京エレクトロン株式会社 成膜方法及び成膜装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US4539061A (en) * 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
FI845161A0 (fi) * 1984-12-28 1984-12-28 Ksv Chemicals Oy Ytbehandlingsmedel.
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JP3590416B2 (ja) 1993-11-29 2004-11-17 アネルバ株式会社 薄膜形成方法および薄膜形成装置
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
JP3622034B2 (ja) * 1995-01-20 2005-02-23 株式会社ネオス 真空蒸着膜形成室の表面処理方法
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US5766698A (en) * 1996-11-25 1998-06-16 Nanofilm Corporation Method for modifying surfaces with ultra thin films
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6316052B1 (en) * 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6537461B1 (en) 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
JP2001326337A (ja) * 2000-05-16 2001-11-22 Fujitsu Ltd 誘電体膜の製造方法、キャパシタの製造方法および半導体装置の製造方法
US6649408B2 (en) * 2000-03-24 2003-11-18 George Mason University Microdroplet cell culture technique
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US6468903B2 (en) * 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
US20020162507A1 (en) * 2001-05-01 2002-11-07 Applied Materials, Inc. Self-renewing coating for plasma enhanced processing systems
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup

Also Published As

Publication number Publication date
KR101090895B1 (ko) 2011-12-08
TW200502427A (en) 2005-01-16
US20070084404A1 (en) 2007-04-19
KR20060004976A (ko) 2006-01-16
WO2004102648A2 (en) 2004-11-25
US7799135B2 (en) 2010-09-21
WO2004102648A3 (en) 2005-03-24
US7118779B2 (en) 2006-10-10
TWI394862B (zh) 2013-05-01
US20040221807A1 (en) 2004-11-11
EP1623454A2 (en) 2006-02-08
JP2007501902A (ja) 2007-02-01

Similar Documents

Publication Publication Date Title
JP4959333B2 (ja) 化学的不活性化を通じたリアクタ表面のパシベーション
US7914847B2 (en) Reactor surface passivation through chemical deactivation
JP5856085B2 (ja) 蒸着に対する反応部位の不活性化
US7378354B2 (en) Atomic layer deposition methods
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US7247581B2 (en) Methods for treating pluralities of discrete semiconductor substrates
US20040026371A1 (en) Two-compartment chamber for sequential processing method
US20050136657A1 (en) Film-formation method for semiconductor process
US20060046412A1 (en) Method and system for sequential processing in a two-compartment chamber
US20160002039A1 (en) Low Temperature Atomic Layer Deposition Of Films Comprising SiCN OR SiCON
US20040107897A1 (en) Atomic layer deposition apparatus and method for preventing generation of solids in exhaust path
JP7366234B2 (ja) 処理チャンバ部品のための保護用多層コーティング
US6951813B2 (en) Methods of forming metal-containing layers including a metal bonded to halogens and trialkylaluminum
US6858085B1 (en) Two-compartment chamber for sequential processing
KR102569299B1 (ko) 금속 산화물들의 저온 ald를 위한 방법들
JP2023521758A (ja) 薄膜を用いてニッケル及びニッケル含有構成要素を保護する装置及び方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070315

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091207

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100922

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100930

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101022

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101029

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110628

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120306

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120321

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150330

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4959333

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250