JP2021044534A - 成膜方法 - Google Patents

成膜方法 Download PDF

Info

Publication number
JP2021044534A
JP2021044534A JP2020092874A JP2020092874A JP2021044534A JP 2021044534 A JP2021044534 A JP 2021044534A JP 2020092874 A JP2020092874 A JP 2020092874A JP 2020092874 A JP2020092874 A JP 2020092874A JP 2021044534 A JP2021044534 A JP 2021044534A
Authority
JP
Japan
Prior art keywords
film
substrate
film forming
sam
self
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020092874A
Other languages
English (en)
Inventor
健次 大内
Kenji Ouchi
健次 大内
秀司 東雲
Shuji Shinonome
秀司 東雲
河野 有美子
Yumiko Kono
有美子 河野
進一 池
Chinichi Ike
進一 池
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to KR1020227009466A priority Critical patent/KR20220050198A/ko
Priority to CN202080060096.4A priority patent/CN114303230A/zh
Priority to TW109128732A priority patent/TW202122617A/zh
Priority to US17/753,490 priority patent/US20220336205A1/en
Priority to PCT/JP2020/031752 priority patent/WO2021044882A1/ja
Publication of JP2021044534A publication Critical patent/JP2021044534A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】選択成膜を用いた半導体デバイスの生産性を向上させる。【解決手段】基板に選択的に成膜を行う成膜方法は、準備工程と、第1の成膜工程と、第2の成膜工程と、第1の除去工程とを含む。準備工程では、表面に第1の膜と第2の膜とが露出している基板が準備される。第1の成膜工程では、フッ素および炭素を含む官能基を有し、第3の膜の成膜を抑制する自己組織化単分子膜を成膜するための化合物を基板上に供給することにより、第1の膜上に自己組織化単分子膜が成膜される。第2の成膜工程では、第2の膜上に第3の膜が成膜される。第1の除去工程では、基板の表面にイオンおよび活性種の少なくともいずれかを照射することにより、自己組織化単分子膜の近傍に形成された第3の膜が除去される。また、第3の膜は、第1の膜よりも、自己組織化単分子膜に含まれるフッ素および炭素と結びついて揮発性の化合物を作りやすい膜である。【選択図】図2

Description

本開示の種々の側面および実施形態は、成膜方法に関する。
半導体デバイスの製造において、基板の表面の特定の領域に選択的に膜を形成する技術として、フォトグラフィ技術が広く用いられている。例えば、下層配線形成後に絶縁膜を成膜し、フォトリソグラフィおよびエッチングによりトレンチおよびビアホールを有するデュアルダマシン構造を形成し、トレンチおよびビアホールにCu等の導電膜を埋め込んで配線を形成する。
しかし、近年、半導体デバイスの微細化が益々進んでおり、フォトリソグラフィ技術では位置合わせ精度が十分でない場合も生じている。
このため、フォトリソグラフィ技術を用いずに、基板の表面の特定の領域に、選択的に膜を形成する手法が求められている。そのような手法として、膜形成を望まない基板の表面の領域に自己組織化単分子膜(Self-Assembled Monolayer:SAM)を形成する技術が提案されている(例えば特許文献1〜4および非特許文献1〜4参照)。SAMが形成された基板の表面の領域には所定の膜が形成されないため、SAMが形成されていない基板の表面の領域にのみ所定の膜を形成することができる。
特表2007−501902号公報 特表2007−533156号公報 特表2010−540773号公報 特表2013−520028号公報
G. S. Oehrlein, D. Metzler, and C. Li "Atomic Layer Etching at the Tipping Point: An Overview" ECS J. Solid State Sci. Technol. 2015 vol. 4 no. 6 N5041-N5053 Ming Fang and Johnny C. Ho "Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning" ACS Nano, 2015, 9 (9), pp 8651-8654 Adriaan J. M. Mackus, Marc J. M. Merkx, and Wilhelmus M. M. Kessels "From the Bottom-Up: Toward Area-Selective Atomic Layer Deposition with High Selectivity" Chem. Mater., 2019, 31 (1), pp 2-12 Fatemeh Sadat Minaye Hashemi, Bradlee R. Birchansky, and Stacey F. Bent "Selective Deposition of Dielectrics: Limits and Advantages of Alkanethiol Blocking Agents on Metal-Dielectric Patterns" ACS Appl. Mater. Interfaces, 2016, 8 (48), pp 33264-33272
本開示は、選択成膜を用いた半導体デバイスの生産性を向上させることができる成膜方法を提供する。
本開示の一側面は、基板に選択的に成膜を行う成膜方法であって、準備工程と、第1の成膜工程と、第2の成膜工程と、第1の除去工程とを含む。準備工程では、表面に第1の膜と第2の膜とが露出している基板が準備される。第1の成膜工程では、フッ素および炭素を含む官能基を有し、第3の膜の成膜を抑制する自己組織化単分子膜を成膜するための化合物を基板上に供給することにより、第1の膜上に自己組織化単分子膜が成膜される。第2の成膜工程では、第2の膜上に第3の膜が成膜される。第1の除去工程では、基板の表面にイオンおよび活性種の少なくともいずれかを照射することにより、自己組織化単分子膜の近傍に形成された第3の膜が除去される。また、第3の膜は、第1の膜よりも、自己組織化単分子膜に含まれるフッ素および炭素と結びついて揮発性の化合物を作りやすい膜である。
本開示の種々の側面および実施形態によれば、選択成膜を用いた半導体デバイスの生産性を向上させることができる。
図1は、本開示の一実施形態における成膜システムの一例を示す模式図である。 図2は、第1の実施形態における成膜方法の一例を示すフローチャートである。 図3は、第1の実施形態の準備工程において準備される基板の一例を示す断面図である。 図4は、第1の実施形態において第1の膜上にSAMが成膜された後の基板の一例を示す断面図である。 図5は、第1の実施形態において第2の膜上に第3の膜が成膜された後の基板の一例を示す断面図である。 図6は、第1の除去工程に用いられるプラズマ処理装置の一例を示す概略断面図である。 図7は、第1の実施形態においてSAM上の第3の膜の核が除去された後の基板の一例を示す断面図である。 図8は、第1の実施形態において第1の膜上のSAMが除去された後の基板の一例を示す断面図である。 図9は、第2の実施形態における成膜方法の一例を示すフローチャートである。 図10は、第2の実施形態の準備工程において準備される基板の一例を示す断面図である。 図11は、第2の実施形態において金属配線上にSAMが成膜された後の基板の一例を示す断面図である。 図12は、第2の実施形態において誘電体膜が成膜された後の基板の一例を示す断面図である。 図13は、第2の実施形態においてSAMが除去された後の基板の一例を示す断面図である。 図14は、第2の実施形態において金属配線上にさらにSAMが成膜された後の基板の一例を示す断面図である。 図15は、第2の実施形態において誘電体膜上に誘電体膜がさらに成膜された後の基板の一例を示す断面図である。 図16は、第2の実施形態においてSAMが除去された後の基板の一例を示す断面図である。 図17は、第2の実施形態における成膜方法の他の例を示すフローチャートである。 図18は、第2の実施形態における成膜方法のさらなる他の例を示すフローチャートである。
以下に、開示される成膜方法の実施形態について、図面に基づいて詳細に説明する。なお、以下の実施形態により、開示される成膜方法が限定されるものではない。
ところで、従来の選択成膜では、表面に金属膜および絶縁膜が露出している基板が準備され、金属膜上に、酸化膜の成膜を抑制するSAMが形成される。そして、絶縁膜上に酸化膜が成膜される。この時、金属膜上への酸化膜の成膜がSAMにより抑制されるため、金属膜上には酸化膜が成膜されない。
しかし、SAMにおける酸化膜の成膜の抑制能力は完全ではないため、SAM上にも酸化膜の核が成長する場合がある。これにより、酸化膜の成膜を続けると、SAM上にも酸化膜が成膜されてしまう。そのため、絶縁膜上での酸化膜の成膜がある程度進行した段階で、SAM上に形成された酸化膜の核を除去する必要がある。SAM上の酸化膜の核が除去された後、金属膜上にSAMが補充され、再び絶縁膜上への酸化膜の成膜が行われる。SAM上の酸化膜の核が除去された後、金属膜上にSAMが残っていれば、金属膜上に残存しているSAMが除去された上で、金属膜上にSAMが補充され、再び絶縁膜上への酸化膜の成膜が行われる。酸化膜の成膜、SAM上の核の除去、およびSAMの補充が、この順番で繰り返されることにより、絶縁膜上に所望の厚さの酸化膜を成膜することができる。
ここで、SAM上に形成された酸化膜の核は、例えばフルオロカーボン系のガスを用いたエッチングにより除去することができる。しかし、フルオロカーボン系のガスは、基板全体に供給されるため、絶縁膜上に形成された酸化膜もエッチングされてしまい、酸化膜の膜厚が減少してしまう。そのため、酸化膜の成膜、SAM上の核の除去、およびSAMの補充が繰り返されても、絶縁膜上に成膜される酸化膜の膜厚がなかなか所望の膜厚に達しない。従って、絶縁膜のみに選択的に所望の膜厚の酸化膜を成膜する処理全体の生産性の向上が求められている。
そこで、本開示は、選択成膜を用いた半導体デバイスの生産性を向上させることができる技術を提供する。
(第1の実施形態)
[成膜システム]
図1は、本開示の一実施形態における成膜システム100の一例を示す模式図である。成膜システム100は、SAM供給装置200、成膜装置300、プラズマ処理装置400、およびプラズマ処理装置500を有する。これら装置は、平面形状が七角形をなす真空搬送室101の4つの側壁にそれぞれゲートバルブGを介して接続されている。成膜システム100は、マルチチャンバータイプの真空処理システムである。真空搬送室101内は、真空ポンプにより排気されて所定の真空度に保たれている。成膜システム100は、SAM供給装置200、成膜装置300、プラズマ処理装置400、およびプラズマ処理装置500を用いて、表面に第1の膜および第2の膜が露出している基板Wの第2の膜上に第3の膜を選択的に成膜する。
SAM供給装置200は、基板Wの表面に、SAMを形成するための有機化合物のガスを供給することにより、基板Wの第1の膜の領域にSAMを成膜する。本実施形態におけるSAMは、第1の膜の表面に吸着し、第3の膜の成膜を抑制する機能を有する。
本実施形態において、SAMを形成するための有機化合物は、フッ素および炭素を含む官能基を有する。SAMを形成するための有機化合物は、例えば、第1の膜の表面に吸着する結合性官能基、フッ素および炭素を含む機能性官能基、および、結合性官能基と機能性官能基とをつなぐアルキル鎖を有する有機化合物である。
第1の膜が例えば金や銅等である場合、SAMを形成するための有機化合物としては、例えば一般式「R−SH」で表されるチオール系化合物を用いることができる。ここで、「R」には、フッ素原子および炭素原子が含まれる。チオール系化合物は、金や銅等の金属の表面には吸着し、酸化物やカーボンの表面には吸着しない性質を有する。このようなチオール系化合物としては、例えばCF3(CF215CH2CH2SH、CF3(CF27CH2CH2SH、CF3(CF25CH2CH2SH、HS−(CH211−O−(CH22−(CF25−CF3、またはHS−(CH211−O−CH2−C65等を用いることができる。
なお、第1の膜が例えばシリコン窒化膜等である場合、SAMを形成するための有機化合物としては、例えば一般式「R−Si(OCH33」または「R−SiCl3」で表される有機シラン系化合物を用いることができる。また、第1の膜が例えば酸化アルミニウム等である場合、SAMを形成するための有機化合物としては、例えば一般式「R−P(=O)(OH)2」で表されるホスホン酸系化合物を用いることができる。また、第1の膜が例えば酸化タンタル等である場合、SAMを形成するための有機化合物としては、例えば一般式「R−N=C=O」で表されるイソシアナート系化合物を用いることができる。
本実施形態において、第1の膜は、第2の膜よりもSAMが吸着しやすい膜である。また、第3の膜は、第1の膜よりも、SAMに含まれるフッ素および炭素と結びついて揮発性の化合物を作りやすい膜である。このような第1の膜、第2の膜、第3の膜、およびSAMの材料の組み合わせとしては、例えば、以下の表1〜表4に示されるような組み合わせが考えられる。
Figure 2021044534
Figure 2021044534
Figure 2021044534
Figure 2021044534
なお、上記表1〜表4に示された組み合わせでは、第1の膜の材料と第2の膜の材料とが異なり、かつ、第1の膜の材料と第3の膜の材料とが異なることを前提としている。
成膜装置300は、SAM供給装置200によってSAMが成膜された基板Wの第2の膜上に第3の膜を成膜する。本実施形態において、成膜装置300は、原料ガスおよび反応ガスを用いたALD(Atomic Layer Deposition)により、基板Wの第2の膜の領域に第3の膜を成膜する。原料ガスとしては、例えば塩化シランまたはジメチル塩化シラン等のガスを用いることができる。反応ガスとしては、例えばH2OガスまたはN2Oガス等を用いることができる。
プラズマ処理装置400は、成膜装置300によって第3の膜が成膜された基板W上にイオンおよび活性種の少なくともいずれかを照射する。本実施形態において、プラズマ処理装置400は、基板WをArガス等の希ガスのプラズマに晒すことにより、プラズマに含まれるイオンおよび活性種を基板W上に照射する。なお、プラズマは、複数種類の希ガス(例えばHeガスとArガス)を用いて生成されてもよい。
プラズマ処理装置500は、プラズマ処理装置400によってイオンおよび活性種が照射された基板Wの表面をさらにプラズマに晒すことにより、第1の膜上に残存しているSAMを除去する。本実施形態において、プラズマ処理装置500は、例えば水素ガスのプラズマを生成し、基板Wの表面を水素ガスのプラズマに晒すことにより、第1の膜上に残存しているSAMを除去する。なお、プラズマ処理装置500は、酸素ガス等の他のガスのプラズマを用いて第1の膜上に残存しているSAMを除去してもよい。また、第1の膜上に残存しているSAMは、プラズマを用いずに、オゾンガス等の反応性の高いガスを用いて除去されてもよい。
真空搬送室101の他の3つの側壁には、3つのロードロック室102がゲートバルブG1を介して接続されている。ロードロック室102を挟んで真空搬送室101の反対側には、大気搬送室103が設けられている。3つのロードロック室102のそれぞれは、ゲートバルブG2を介して大気搬送室103に接続されている。ロードロック室102は、大気搬送室103と真空搬送室101との間で基板Wを搬送する際に、大気圧と真空との間で圧力制御を行う。
大気搬送室103のゲートバルブG2が設けられた側面とは反対側の側面には、基板Wを収容するキャリア(FOUP(Front-Opening Unified Pod)等)Cを取り付けるための3つのポート105が設けられている。また、大気搬送室103の側壁には、基板Wのアライメントを行うためのアライメント室104が設けられている。大気搬送室103内には清浄空気のダウンフローが形成される。
真空搬送室101内には、ロボットアーム等の搬送機構106が設けられている。搬送機構106は、SAM供給装置200、成膜装置300、プラズマ処理装置400、プラズマ処理装置500、およびそれぞれのロードロック室102の間で基板Wを搬送する。搬送機構106は、独立に移動可能な2つのアーム107aおよび107bを有する。
大気搬送室103内には、ロボットアーム等の搬送機構108が設けられている。搬送機構108は、それぞれのキャリアC、それぞれのロードロック室102、およびアライメント室104の間で基板Wを搬送する。
成膜システム100は、メモリ、プロセッサ、および入出力インターフェイスを有する制御装置110を有する。メモリには、プロセッサによって実行されるプログラム、および、各処理の条件等を含むレシピが格納されている。プロセッサは、メモリから読み出したプログラムを実行し、メモリ内に記憶されたレシピに基づいて、入出力インターフェイスを介して、成膜システム100の各部を制御する。
[成膜方法]
図2は、第1の実施形態における成膜方法の一例を示すフローチャートである。本実施形態では、例えば図1に示された成膜システム100により、表面に第1の膜および第2の膜が露出している基板Wにおいて、第2の膜上に選択的に第3の膜が成膜される。図2のフローチャートに示された成膜方法は、制御装置110が成膜システム100の各部を制御することによって実現される。以下では、第1の実施形態における成膜方法の一例を、図3〜図8を参照しながら説明する。
まず、準備工程が実行される(S10)。ステップS10の準備工程では、例えば図3に示されるように、基材10上に第1の膜11および第2の膜12を有する基板Wが準備される。図3は、第1の実施形態の準備工程において準備される基板Wの一例を示す断面図である。本実施形態において、基材10は、例えばシリコン等であり、第1の膜11は、例えば銅等の金属膜であり、第2の膜12は、例えばシリコン酸化膜等の絶縁膜である。
ステップS10において準備された基板Wは、キャリアCに収容されてポート105にセットされる。そして、搬送機構108によってキャリアCから取り出され、アライメント室104を経由した後に、いずれかのロードロック室102内に搬入される。そして、ロードロック室102内が真空排気された後、搬送機構106によって、基板Wがロードロック室102から搬出され、SAM供給装置200内に搬入される。
次に、第1の成膜工程が実行される(S11)。ステップS11の第1の成膜工程では、基板Wが搬入されたSAM供給装置200内に、SAMを形成するための有機化合物のガスが供給される。SAM供給装置200内に供給された有機化合物の分子は、基板W上において、第2の膜12の表面には吸着せず、第1の膜11の表面に吸着し、第1の膜11上にSAMを形成する。ステップS11の第1の成膜工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:100〜350℃(好ましくは150℃)
圧力:1〜100Torr(好ましくは50Torr)
有機化合物のガスの流量:50〜500sccm(好ましくは250sccm)
処理時間:10〜300秒(好ましくは30秒)
これにより、基板Wの状態は、例えば図4のようになる。図4は、第1の実施形態において第1の膜11上にSAM13が成膜された後の基板Wの一例を示す断面図である。ステップS11の処理が実行された後、基板Wは、搬送機構106によってSAM供給装置200から搬出され、成膜装置300内に搬入される。
次に、第2の成膜工程が実行される(S12)。ステップS12の第2の成膜工程では、基板Wが搬入された成膜装置300において、ALDにより基板W上に酸化膜等の第3の膜が成膜される。本実施形態において、ALDにより基板W上に成膜される第3の膜は、例えばシリコン酸化膜である。ALDでは、吸着工程、第1のパージ工程、反応工程、および第2のパージ工程を含むALDサイクルが所定回数繰り返される。
吸着工程では、成膜装置300内に、例えば塩化シランのガス等の原料ガスが供給される。これにより、原料ガスの分子が第2の膜12の表面に化学吸着する。ただし、原料ガスの分子はSAM13上にはほとんど吸着しない。吸着工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:100〜350℃(好ましくは200℃)
圧力:1〜10Torr(好ましくは5Torr)
原料ガスの流量:10〜500sccm(好ましくは250sccm)
処理時間:0.3〜10秒(好ましくは1秒)
第1のパージ工程では、窒素ガス等の不活性ガスが成膜装置300内に供給されることにより、第2の膜12上に過剰に吸着した原料ガスの分子が除去される。第1のパージ工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:100〜350℃(好ましくは200℃)
圧力:1〜10Torr(好ましくは5Torr)
不活性ガスの流量:500〜5000sccm(好ましくは2000sccm)
処理時間:0.3〜10秒(好ましくは5秒)
反応工程では、成膜装置300内に、例えばH2Oガス等の反応ガスが供給され、反応ガスの分子と第2の膜12上に吸着した原料ガスの分子とが反応し、第2の膜12上にシリコン酸化膜(第3の膜14)が成膜される。このとき、SAM13上にはほとんど原料ガスの分子が存在しないので、SAM13上には第3の膜14がほとんど成膜されない。反応工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:100〜350℃(好ましくは200℃)
圧力:1〜10Torr(好ましくは5Torr)
反応ガスの流量:100〜2000sccm(好ましくは250sccm)
処理時間:0.3〜10秒(好ましくは1秒)
第2のパージ工程では、窒素ガス等の不活性ガスが成膜装置300内に供給されることにより、第2の膜12上の未反応の原料ガスの分子等が除去される。第2のパージ工程における主な処理条件は、前述の第1のパージ工程における処理条件と同様である。
吸着工程、第1のパージ工程、反応工程、および第2のパージ工程を含むALDサイクルが所定回数繰り返されることにより、例えば図5に示されるように、第2の膜12上に第3の膜14が成膜される。図5は、第1の実施形態において第3の膜14が成膜された後の基板Wの一例を示す断面図である。
なお、第1の膜11上のSAM13の領域も原料ガスや反応ガスに晒される。また、SAM13における第3の膜14の成膜の抑制能力は完全ではない。そのため、上記ALDサイクルが繰り返されることにより、例えば図5に示されるように、SAM13上に第3の膜14の核15が形成される場合がある。
SAM13上に第3の膜14の核15が形成された後も、上記ALDサイクルが繰り返されると、核15が成長し、やがてSAM13上にも第3の膜14が形成されてしまう。これを防止するために、核15が第3の膜14に成長する前に、SAM13上に形成された核15を除去する必要がある。ステップS12の処理が実行された後、基板Wは、搬送機構106によって成膜装置300から搬出され、プラズマ処理装置400内に搬入される。
次に、第1の除去工程が実行される(S13)。ステップS13の第1の除去工程は、例えば図6に示されるようなプラズマ処理装置400によって実行される。図6は、第1の除去工程に用いられるプラズマ処理装置400の一例を示す概略断面図である。本実施形態におけるプラズマ処理装置400は、例えば容量結合型平行平板プラズマ処理装置である。プラズマ処理装置400は、例えば表面が陽極酸化処理されたアルミニウム等によって形成され、内部に略円筒形状の空間が形成された処理容器410を有する。処理容器410は保安接地されている。
処理容器410内には、基板Wが載置される略円筒形状のステージ420が設けられている。ステージ420は、例えばアルミニウム等で形成されている。ステージ420には、高周波電源421が接続されている。高周波電源421は、イオンの引き込み(バイアス)に用いられる所定の周波数(例えば400kHz〜13.5MHz)の高周波電力をステージ420に供給する。
処理容器410の底部には、排気口411が設けられている。排気口411には、排気管412を介して排気装置413が接続されている。排気装置413は、例えばターボ分子ポンプ等の真空ポンプを有しており、処理容器410内を所望の真空度まで減圧することができる。
処理容器410の側壁には、基板Wを搬入および搬出するための開口414が形成されており、開口414は、ゲートバルブGによって開閉される。
ステージ420の上方には、ステージ420と対向するようにシャワーヘッド430が設けられている。シャワーヘッド430は、絶縁部材415を介して処理容器410の上部に支持されている。ステージ420とシャワーヘッド430とは、互いに略平行となるように処理容器410内に設けられている。
シャワーヘッド430は、天板保持部431および天板432を有する。天板保持部431は、例えば表面が陽極酸化処理されたアルミニウム等により形成されており、その下部に天板432を着脱自在に支持する。
天板保持部431には、拡散室433が形成されている。天板保持部431の上部には、拡散室433に連通する導入口436が形成されており、天板保持部431の底部には、拡散室433に連通する複数の流路434が形成されている。導入口436には、配管を介してガス供給源438が接続されている。ガス供給源438は、Arガス等の希ガスの供給源である。希ガスは、処理ガスの一例である。
天板432には、天板432を厚さ方向に貫通する複数の貫通口435が形成されている。1つの貫通口435は、1つの流路434に連通している。ガス供給源438から導入口436を介して拡散室433内に供給された希ガスは、拡散室433内を拡散し、複数の流路434および貫通口435を介して処理容器410内にシャワー状に供給される。
シャワーヘッド430の天板保持部431には、高周波電源437が接続されている。高周波電源437は、プラズマの発生に用いられる所定の周波数の高周波電力を天板保持部431に供給する。プラズマの発生に用いられる高周波電力の周波数は、例えば450kHz〜2.5GHzの範囲内の周波数である。天板保持部431に供給された高周波電力は、天板保持部431の下面から処理容器410内に放射される。処理容器410内に供給された希ガスは、処理容器410に放射された高周波電力によってプラズマ化される。そして、プラズマに含まれる活性種が基板Wの表面に照射される。また、プラズマに含まれるイオンが、高周波電源421によってステージ420に供給されたバイアス電力によって基板Wの表面に引き込まれ、基板Wの表面に照射される。
基板W上にイオンおよび活性種の少なくともいずれかが照射されることにより、第1の膜11上のSAM13が励起され、SAM13に含まれるフッ素および炭素と、SAM13上に形成された第3の膜14の核15とが反応する。そして、SAM13上に形成された第3の膜14の核15は、揮発性のフッ化シリコン化合物となって、SAM13上から除去される。ステップS13の第1の除去工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:30〜350℃(好ましくは200℃)
圧力:数mTorr〜100Torr(好ましくは10mTorr)
希ガスの流量:10〜1000sccm(好ましくは100sccm)
プラズマ生成用の高周波電力:100〜5000W(好ましくは2000W)
バイアス用の高周波電力:10〜1000W(好ましくは100W)
処理時間:1〜300秒(好ましくは30秒)
これにより、基板Wの状態は、例えば図7のようになる。図7は、第1の実施形態においてSAM13上の第3の膜14の核15が除去された後の基板Wの一例を示す断面図である。基板Wの表面にプラズマに含まれるイオンおよび活性種の少なくともいずれかが照射されることにより、第1の膜11上のSAM13の一部が分解し、SAM13上の第3の膜14の核15と反応し、SAM13上の第3の膜14の核15が除去される。一方、第3の膜14上にイオンおよび活性種の少なくともいずれかが照射されても、第3の膜14はほとんど削れず、第3の膜14の膜厚はほとんど変わらない。ステップS13の処理が実行された後、基板Wは、搬送機構106によってプラズマ処理装置400から搬出され、プラズマ処理装置500内に搬入される。
次に、第2の除去工程が実行される(S14)。ステップS14の第2の除去工程では、基板Wが搬入されたプラズマ処理装置500内に、例えば水素ガスのプラズマが生成される。プラズマ処理装置500は、例えば図6を用いて説明したプラズマ処理装置400と同様の構造の装置を用いることができる。ステップS14の第2の除去工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:30〜350℃(好ましくは200℃)
圧力:数mTorr〜100Torr(好ましくは50Torr)
水素ガスの流量:10〜1000sccm(好ましくは200sccm)
プラズマ生成用の高周波電力:100〜5000W(好ましくは2000W)
バイアス用高周波電力:10〜1000W(好ましくは100W)
処理時間:1〜300秒(好ましくは30秒)
これにより、第1の膜11上に残存しているSAM13が全て除去され、基板Wの状態は、例えば図8のようになる。図8は、第1の実施形態において第1の膜11上のSAM13が除去された後の基板Wの一例を示す断面図である。
次に、ステップS11〜S14の処理が所定回数実行されたか否かが判定される(S15)。所定回数とは、第2の膜12上に所定の厚さの第3の膜14が形成されるまでステップS11〜S14の処理が繰り返される回数である。ステップS11〜S14が所定回数実行されていない場合(S15:No)、再びステップS11に示された処理が実行される。
一方、ステップS11〜S14が所定回数実行された場合(S15:Yes)、搬送機構106によって、基板Wがプラズマ処理装置500から搬出され、いずれかのロードロック室102内に搬入される。そして、ロードロック室102内が大気圧に戻された後、搬送機構108によって基板Wがロードロック室102から搬出され、キャリアCに戻される。そして、本フローチャートに示された成膜方法が終了する。
ここで、SAM13上に形成された第3の膜14の核15をフルオロカーボン系のガスを用いたドライエッチングにより除去するとすれば、核15は除去されるものの、第2の膜12上に成膜された第3の膜14もエッチングされてしまう。そのため、第2の膜12上に所定の厚さの第3の膜14を成膜するのに要する時間が長くなり、基板Wを用いた半導体デバイスの生産性の向上が難しい。
これに対し、本実施形態では、ステップS11において、第1の膜11上にフッ素および炭素を含むSAM13を選択的に成膜し、ステップS13において、基板W全体にイオンおよび活性種の少なくともいずれかを照射する。これにより、第1の膜11上のSAM13が分解し、SAM13に含まれるフッ素および炭素によってSAM13上の第3の膜14の核15が揮発性のフッ化シリコン化合物となって除去される。
一方、第2の膜12上に成膜された第3の膜14には、フッ素原子および炭素原子がほとんど存在しないため、イオンおよび活性種の少なくともいずれかが照射されても、第3の膜14はほとんどエッチングされない。そのため、第2の膜12上に所定の厚さの第3の膜14を早期に成膜することができ、基板Wを用いた半導体デバイスの生産性を向上させることができる。
以上、第1の実施形態について説明した。上記したように、本実施形態における成膜方法は、基板Wに選択的に成膜を行う成膜方法であって、準備工程と、第1の成膜工程と、第2の成膜工程と、第1の除去工程とを含む。準備工程では、表面に第1の膜11と第2の膜12とが露出している基板Wが準備される。第1の成膜工程では、フッ素および炭素を含む官能基を有し、第3の膜14の成膜を抑制する自己組織化単分子膜を成膜するための化合物を基板W上に供給することにより、第1の膜11上にSAM13が成膜される。第2の成膜工程では、第2の膜12上に第3の膜14が成膜される。第1の除去工程では、基板Wの表面にイオンおよび活性種の少なくともいずれかを照射することにより、SAM13の近傍に形成された第3の膜14が除去される。また、第3の膜14は、第1の膜11よりも、SAM13に含まれるフッ素および炭素と結びついて揮発性の化合物を作りやすい膜である。これにより、選択成膜を用いた半導体デバイスの生産性を向上させることができる。
また、上記した実施形態における第1の除去工程では、基板Wの表面にイオンおよび活性種の少なくともいずれかが照射されることにより、SAM13の上に形成された第3の膜14の核15が除去される。これにより、選択成膜を用いた半導体デバイスの生産性を向上させることができる。
また、上記した実施形態における成膜方法には、第1の除去工程の後に実行される、第1の膜11上のSAM13を除去する第2の除去工程がさらに含まれる。また、第1の成膜工程、第2の成膜工程、第1の除去工程、および第2の除去工程は、この順番で複数回繰り返される。これにより、選択成膜により第2の膜12上に所望の厚さの第3の膜14を迅速に成膜することができる。
また、上記した実施形態における第1の除去工程では、基板Wの表面が処理ガスのプラズマに晒されることにより、プラズマに含まれるイオンおよび活性種の少なくともいずれかが基板Wの表面に照射される。処理ガスは、例えば希ガスである。これにより、基板Wの表面にイオンおよび活性種の少なくともいずれかを効率的に照射することができる。
また、上記した実施形態において、第1の膜11は、例えば金属膜であってもよく、第2の膜12は、例えば絶縁膜であってもよく、第3の膜14は、例えば酸化膜であってもよい。これにより、選択成膜により第2の膜12上に所望の厚さの第3の膜14を迅速に成膜することができる。
また、上記した実施形態において、SAM13を形成するための有機化合物は、第1の膜11の表面に吸着する結合性官能基と、フッ素および炭素を含む機能性官能基とを有する有機化合物である。具体的には、SAM13を形成するための有機化合物は、例えば、チオール系化合物、有機シラン系化合物、ホスホン酸系化合物、またはイソシアナート系化合物である。これにより、第1の膜11の表面に選択的にSAM13を成膜することができる。
(第2の実施形態)
図9は、第2の実施形態における成膜方法の一例を示すフローチャートである。本実施形態では、図1に例示された成膜システム100により、表面に第1の膜および第2の膜が露出している基板Wにおいて、第2の膜上に選択的に第3の膜が成膜される。図9のフローチャートに例示された成膜方法は、制御装置110が成膜システム100の各部を制御することによって実現される。以下では、第2の実施形態における成膜方法の一例を、図10〜図16を参照しながら説明する。なお、本実施形態における成膜方法では、プラズマ処理装置500は使用されない。
まず、準備工程が実行される(S20)。ステップS20の準備工程では、例えば図10に示されるように、Low−k材料により形成された層間絶縁膜52の溝にバリア膜51および金属配線50が埋め込まれた基板Wが準備される。図10は、第2の実施形態の準備工程において準備される基板Wの一例を示す断面図である。金属配線50は第1の膜の一例であり、バリア膜51および層間絶縁膜52は第2の膜の一例である。本実施形態において、金属配線50は例えば銅、バリア膜51は例えば窒化タンタル、層間絶縁膜52は例えばシリコン酸化膜である。
ステップS20において準備された基板Wは、キャリアCに収容されてポート105にセットされる。そして、搬送機構108によってキャリアCから取り出され、アライメント室104を経由した後に、いずれかのロードロック室102内に搬入される。そして、ロードロック室102内が真空排気された後、搬送機構106によって、基板Wがロードロック室102から搬出され、SAM供給装置200内に搬入される。
次に、第1の成膜工程が実行される(S21)。ステップS21の第1の成膜工程では、基板Wが搬入されたSAM供給装置200内に、SAMを形成するための有機化合物のガスが供給される。SAMを形成するための有機化合物としては、例えば炭素原子およびフッ素原子を含む官能基を有するチオール系化合物を用いることができる。SAM供給装置200内に供給された有機化合物の分子は、基板W上において、バリア膜51および層間絶縁膜52の表面には吸着せず、金属配線50の表面に吸着し、金属配線50上にSAMを形成する。ステップS21の第1の成膜工程における主な処理条件は、第1の実施形態のステップS11の第1の成膜工程における主な処理条件と同様である。
これにより、基板Wの状態は、例えば図11のようになる。図11は、第2の実施形態において金属配線50上にSAM53が成膜された後の基板Wの一例を示す断面図である。ステップS21の処理が実行された後、基板Wは、搬送機構106によってSAM供給装置200から搬出され、成膜装置300内に搬入される。
次に、第2の成膜工程が実行される(S22)。ステップS22の第2の成膜工程では、基板Wが搬入された成膜装置300において、ALDにより基板W上に誘電体膜54が成膜される。誘電体膜54は、第3の膜の一例である。本実施形態において、誘電体膜54は、例えば酸化アルミニウムである。ALDでは、吸着工程、第1のパージ工程、反応工程、および第2のパージ工程を含むALDサイクルが所定回数繰り返される。
吸着工程では、成膜装置300内に、例えばTMA(トリメチルアルミニウム)のガス等の原料ガスが供給される。これにより、原料ガスの分子がバリア膜51および層間絶縁膜52の表面に化学吸着する。ただし、原料ガスの分子はSAM53上にはほとんど吸着しない。吸着工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:80〜250℃(好ましくは150℃)
圧力:0.1〜10Torr(好ましくは3Torr)
原料ガスの流量:1〜300sccm(好ましくは50sccm)
処理時間:0.1〜5秒(好ましくは0.2秒)
第1のパージ工程では、アルゴンガス等の希ガスや窒素ガス等の不活性ガスが成膜装置300内に供給されることにより、バリア膜51および層間絶縁膜52上に過剰に吸着した原料ガスの分子が除去される。第1のパージ工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:80〜250℃(好ましくは150℃)
圧力:0.1〜10Torr(好ましくは3Torr)
不活性ガスの流量:5〜15slm(好ましくは10slm)
処理時間:0.1〜15秒(好ましくは2秒)
反応工程では、成膜装置300内に、例えばH2Oガス等の反応ガスが供給され、反応ガスの分子とバリア膜51および層間絶縁膜52上に吸着した原料ガスの分子とが反応し、バリア膜51および層間絶縁膜52上に酸化アルミニウム(誘電体膜54)が成膜される。このとき、SAM53上にはほとんど原料ガスの分子が存在しないので、SAM53上には誘電体膜54がほとんど成膜されない。反応工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:80〜250℃(好ましくは150℃)
圧力:0.1〜10Torr(好ましくは3Torr)
反応ガスの流量:10〜500sccm(好ましくは100sccm)
処理時間:0.1〜5秒(好ましくは0.5秒)
第2のパージ工程では、アルゴンガス等の希ガスや窒素ガス等の不活性ガスが成膜装置300内に供給されることにより、基板W上の未反応の原料ガスの分子等が除去される。第2のパージ工程における主な処理条件は、前述の第1のパージ工程における処理条件と同様である。
吸着工程、第1のパージ工程、反応工程、および第2のパージ工程を含むALDサイクルが所定回数繰り返されることにより、例えば図12に示されるように、バリア膜51および層間絶縁膜52上に誘電体膜54が成膜される。図12は、第2の実施形態において誘電体膜54が成膜された後の基板Wの一例を示す断面図である。
ここで、金属配線50上のSAM53の領域も原料ガスや反応ガスに晒される。また、SAM53における誘電体膜54の成膜の抑制能力は完全ではない。そのため、上記ALDサイクルが繰り返されることにより、例えば図5に示されたように、SAM53上に誘電体膜54の核が形成される場合がある。また、ALDサイクルの繰り返しにより誘電体膜54が成長する過程で、誘電体膜54が横方向にも成長し、例えば図12に示されるように、誘電体膜54の一部が金属配線50の領域にせり出す。これにより、誘電体膜54の開口部の幅が、金属配線50の領域の幅ΔW0よりも狭い幅ΔW1となる。
次に、第1の除去工程が実行される(S23)。ステップS23の第1の除去工程は、例えば図6に示されたようなプラズマ処理装置400によって実行される。なお、本実施形態のプラズマ処理装置400には、高周波電源421が設けられていなくてもよい。第1の除去工程では、処理ガスがプラズマ化され、プラズマに含まれるイオンおよび活性種の少なくともいずれかが基板W上に照射される。これにより、金属配線50上のSAM53が励起され、SAM53に含まれるフッ素および炭素と、SAM53上に形成された誘電体膜54の核とが反応し、誘電体膜54の核は、揮発性のフッ素化合物となって、SAM53上から除去される。
また、プラズマに含まれるイオンおよび活性種の少なくともいずれか基板W上に照射されることにより、誘電体膜54に隣接するSAM53が励起され、SAM53に含まれるフッ素および炭素を有する活性種が生成される。そして、フッ素および炭素を有する活性種と、SAM53に隣接する誘電体膜54の側部とが反応する。これにより、金属配線50の領域にせり出した誘電体膜54の側部は、揮発性のフッ素化合物もしくはフッ素と炭素が含まれる揮発性の化合物となって除去される。
これにより、例えば図13に示されるように、誘電体膜54の開口部の幅が、金属配線50の領域の幅ΔW0よりも広い幅ΔW2に広がる。図13は、第2の実施形態においてSAM53が除去された後の基板Wの一例を示す断面図である。これにより、この後の工程で誘電体膜54の開口部に金属配線50に接続されるビアが形成された場合に、ビアの幅を金属配線50の幅よりも広くすることができ、ビアの抵抗値の上昇を抑制することができる。なお、SAM53が励起されることにより生成された活性種は、寿命が短いため、誘電体膜54の上面に到達する前に失活する。そのため、SAM53が励起されることにより生成された活性種によって誘電体膜54の上面はほとんどエッチングされない。
本実施形態において、ステップS23で用いられる処理ガスは、例えば水素ガスである。なお、処理ガスとしては、水素含有ガスであれば、水素ガスの他、アンモニアガス、ヒドラジンのガス、およびメタン等の炭化水素ガスの少なくともいずれかを含むガスを用いることができる。なお、ステップS23が実行されることにより、金属配線50上のSAM53が除去される。そのため、本実施形態では、SAM53の除去を目的とした第2の除去工程は実行されない。
ステップS23の第1の除去工程における主な処理条件は、例えば以下の通りである。
基板Wの温度:50〜300℃(好ましくは150℃)
圧力:0.1Torr〜50Torr(好ましくは2Torr)
処理ガスの流量:200〜3000sccm(好ましくは1000sccm)
プラズマ生成用の高周波電力:50〜1000W(好ましくは200W)
処理時間:1〜60秒(好ましくは10秒)
次に、ステップS21〜S23の処理が所定回数実行されたか否かが判定される(S24)。所定回数とは、層間絶縁膜52上に所定の厚さの誘電体膜54が形成されるまでステップS21〜S23の処理が繰り返される回数である。ステップS21〜S23が所定回数実行されていない場合(S24:No)、再びステップS21に示された処理が実行されることにより、例えば図14に示されるように、金属配線50の表面にSAM53が成膜される。
そして、再びステップS22に示された処理が実行されることにより、バリア膜51および誘電体膜54上に誘電体膜54がさらに成膜される。これにより、例えば図15に示されるように、誘電体膜54の一部が金属配線50の領域に再びせり出し、誘電体膜54の開口部の幅が、金属配線50の領域の幅ΔW0よりも狭い幅ΔW3となる。
そして、再びステップS23に示された処理が実行されることにより、SAM53に含まれるフッ素および炭素を有する活性種により、SAM53上の誘電体膜54の核、および、金属配線50の領域にせり出した誘電体膜54の側部が除去される。これにより、例えば図16に示されるように、誘電体膜54の開口部の幅が、金属配線50の領域の幅ΔW0よりも広い幅ΔW4に広がる。
このように、ステップS21〜S23が繰り返されることにより、誘電体膜54の開口部の幅を、金属配線50の領域の幅ΔW0よりも広く維持しつつ、金属配線50の周囲に任意の厚さの誘電体膜54を成膜することが可能となる。
以上、第2の実施形態について説明した。本実施形態における第1の除去工程では、基板Wの表面にイオンおよび活性種の少なくともいずれかが照射されることにより、SAM53に隣接する誘電体膜54の側部が除去される。これにより、誘電体膜54の開口部の幅を、金属配線50の領域の幅よりも広くすることができる。
また、本実施形態における第1の除去工程では、基板Wの表面が処理ガスのプラズマに晒されることにより、プラズマに含まれるイオンおよび活性種の少なくともいずれかが基板Wの表面に照射される。処理ガスは、例えば水素含有ガスである。これにより、基板Wの表面にイオンおよび活性種の少なくともいずれかを効率的に照射することができる。
[その他]
なお、本願に開示された技術は、上記した実施形態に限定されるものではなく、その要旨の範囲内で数々の変形が可能である。
例えば、上記した第1の実施形態では、ステップS12の第2の成膜工程において、ALDにより第3の膜14が成膜されたが、開示の技術はこれに限られない。他の例として、ステップS12の第2の成膜工程では、CVD(Chemical Vapor Deposition)により第3の膜14が成膜されてもよい。
また、上記した第1の実施形態では、ステップS13の第1の除去工程において、基板Wが希ガスのプラズマに晒されることにより、基板Wの表面にプラズマに含まれるイオンが照射されたが、開示の技術はこれに限られない。例えば集束イオンビーム装置等を用いて、基板Wの表面にイオンが照射されてもよい。
また、上記した第1の実施形態において、成膜システム100には、SAM供給装置200、成膜装置300、プラズマ処理装置400、およびプラズマ処理装置500がそれぞれ1台ずつ設けられるが、開示の技術はこれに限られない。例えば、プラズマ処理装置400とプラズマ処理装置500とは、1台のプラズマ処理装置によって実現されてもよい。また、例えば、成膜システム100には、最も時間のかかる処理を行う装置が複数設けられ、それ以外の処理については、1台の装置で実現するようにしてもよい。例えば、ステップS11の処理に時間がかかる場合、ステップS11の処理を行うSAM供給装置200が複数設けられ、S12〜S14の処理を行う装置が1台設けられてもよい。これにより、複数の基板Wを処理する場合の処理の待ち時間を削減することができる。
また、上記した第2の実施形態では、第1の成膜工程、第2の成膜工程、および第1の除去工程が、この順番で繰り返し実行されるが、開示の技術はこれに限られない。例えば図17に示されるように、第1の成膜工程(S21)、第2の成膜工程(S22)、および第1の除去工程(S23)が実行された後に、第1の成膜工程(S30)および第1の除去(S31)がこの順番で1回以上実行されてもよい。図17は、第2の実施形態における成膜方法の他の例を示すフローチャートである。ステップS30の第1の成膜工程において行われる処理は、ステップS21の第1の成膜工程において行われる処理と同じであり、ステップS31の第1の除去工程において行われる処理は、ステップS23の第1の除去工程において行われる処理と同じである。図17に例示された成膜方法では、ステップS22の第2の成膜工程において十分な厚さの誘電体膜54が成膜される。そして、ステップS30の第1の成膜工程とステップS31の第1の除去工程が繰り返されることで、誘電体膜54の開口部の幅を金属配線50の領域の幅よりも広げることができる。
また、例えば図18に示されるように、S21〜S23の処理、および、S30〜S32の処理が所定回数繰り返されたか否かを判定する処理(S33)が実行されてもよい。これにより、ステップS22において誘電体膜54の膜厚が大きくなる過ぎ、誘電体膜54の開口部が閉塞してしまうことを防止することができる。
また、上記した第2の実施形態の第1の除去工程で用いられる処理ガスは、水素含有ガスであるが、開示の技術はこれに限られない。例えば、処理ガスには、水素含有ガスの他に、アルゴンガス等の希ガスが含まれていてもよい。
なお、今回開示された実施形態は全ての点で例示であって制限的なものではないと考えられるべきである。実に、上記した実施形態は多様な形態で具現され得る。また、上記の実施形態は、添付の特許請求の範囲およびその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
C キャリア
G ゲートバルブ
W 基板
10 基材
11 第1の膜
12 第2の膜
13 SAM
14 第3の膜
15 核
100 成膜システム
101 真空搬送室
102 ロードロック室
103 大気搬送室
104 アライメント室
105 ポート
106 搬送機構
107 アーム
108 搬送機構
110 制御装置
200 SAM供給装置
300 成膜装置
400 プラズマ処理装置
410 処理容器
411 排気口
412 排気管
413 排気装置
414 開口
415 絶縁部材
420 ステージ
421 高周波電源
430 シャワーヘッド
431 天板保持部
432 天板
433 拡散室
434 流路
435 貫通口
436 導入口
437 高周波電源
438 ガス供給源
500 プラズマ処理装置
50 金属配線
51 バリア膜
52 層間絶縁膜
53 SAM
54 誘電体膜

Claims (11)

  1. 基板に選択的に成膜を行う成膜方法において、
    表面に第1の膜と第2の膜とが露出している基板を準備する準備工程と、
    フッ素および炭素を含む官能基を有し、第3の膜の成膜を抑制する自己組織化単分子膜を成膜するための化合物を前記基板上に供給することにより、前記第1の膜上に前記自己組織化単分子膜を成膜する第1の成膜工程と、
    前記第2の膜上に前記第3の膜を成膜する第2の成膜工程と、
    前記基板の表面にイオンおよび活性種の少なくともいずれかを照射することにより、前記自己組織化単分子膜の近傍に形成された前記第3の膜を除去する第1の除去工程と
    を含み、
    前記第3の膜は、前記第1の膜よりも、前記自己組織化単分子膜に含まれるフッ素および炭素と結びついて揮発性の化合物を作りやすい膜である成膜方法。
  2. 前記第1の除去工程では、
    前記基板の表面にイオンおよび活性種の少なくともいずれかが照射されることにより、前記自己組織化単分子膜の上に形成された前記第3の膜の核が除去される請求項1に記載の成膜方法。
  3. 前記第1の除去工程では、
    前記基板の表面にイオンおよび活性種の少なくともいずれかが照射されることにより、前記自己組織化単分子膜に隣接する前記第3の膜の側部が除去される請求項1に記載の成膜方法。
  4. 前記第1の成膜工程、前記第2の成膜工程、および前記第1の除去工程は、この順番で複数回繰り返される請求項1から3のいずれか一項に記載の成膜方法。
  5. 前記第1の成膜工程、前記第2の成膜工程、および前記第1の除去工程が実行された後に、前記第1の成膜工程および前記第1の除去工程がこの順番で1回以上実行される請求項1から3のいずれか一項に記載の成膜方法。
  6. 前記第1の除去工程の後に実行される、前記第1の膜上の前記自己組織化単分子膜を除去する第2の除去工程をさらに含み、
    前記第1の成膜工程、前記第2の成膜工程、前記第1の除去工程、および前記第2の除去工程は、この順番で複数回繰り返される請求項1から3のいずれか一項に記載の成膜方法。
  7. 前記第1の除去工程では、前記基板の表面が処理ガスのプラズマに晒されることにより、前記プラズマに含まれるイオンおよび活性種の少なくともいずれかが前記基板の表面に照射される請求項1から6のいずれか一項に記載の成膜方法。
  8. 前記処理ガスは、希ガスおよび水素含有ガスの少なくともいずれかを含む請求項7に記載の成膜方法。
  9. 前記第1の膜は、金属膜であり、
    前記第2の膜は、絶縁膜であり、
    前記第3の膜は、酸化膜である請求項1から8のいずれか一項に記載の成膜方法。
  10. 前記自己組織化単分子膜を成膜するための化合物は、前記第1の膜の表面に吸着する結合性官能基と、フッ素および炭素を含む機能性官能基とを有する請求項1から9のいずれか一項に記載の成膜方法。
  11. 前記自己組織化単分子膜を成膜するための化合物は、チオール系化合物、有機シラン系化合物、ホスホン酸系化合物、またはイソシアナート系化合物である請求項10に記載の成膜方法。
JP2020092874A 2019-09-05 2020-05-28 成膜方法 Pending JP2021044534A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020227009466A KR20220050198A (ko) 2019-09-05 2020-08-24 성막 방법
CN202080060096.4A CN114303230A (zh) 2019-09-05 2020-08-24 成膜方法
TW109128732A TW202122617A (zh) 2019-09-05 2020-08-24 成膜方法
US17/753,490 US20220336205A1 (en) 2019-09-05 2020-08-24 Film formation method
PCT/JP2020/031752 WO2021044882A1 (ja) 2019-09-05 2020-08-24 成膜方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019162078 2019-09-05
JP2019162078 2019-09-05

Publications (1)

Publication Number Publication Date
JP2021044534A true JP2021044534A (ja) 2021-03-18

Family

ID=74863202

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020092874A Pending JP2021044534A (ja) 2019-09-05 2020-05-28 成膜方法

Country Status (5)

Country Link
US (1) US20220336205A1 (ja)
JP (1) JP2021044534A (ja)
KR (1) KR20220050198A (ja)
CN (1) CN114303230A (ja)
TW (1) TW202122617A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022039032A1 (ja) * 2020-08-17 2022-02-24 東京エレクトロン株式会社 成膜方法および成膜システム
WO2022190889A1 (ja) * 2021-03-09 2022-09-15 東京エレクトロン株式会社 成膜方法および成膜システム
WO2023176535A1 (ja) * 2022-03-17 2023-09-21 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2023182039A1 (ja) * 2022-03-25 2023-09-28 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2024062634A1 (ja) * 2022-09-23 2024-03-28 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
WO2024090273A1 (ja) * 2022-10-28 2024-05-02 東京エレクトロン株式会社 成膜方法および成膜装置

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230002890A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Multiple surface and fluorinated blocking compounds

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7030001B2 (en) 2004-04-19 2006-04-18 Freescale Semiconductor, Inc. Method for forming a gate electrode having a metal
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022039032A1 (ja) * 2020-08-17 2022-02-24 東京エレクトロン株式会社 成膜方法および成膜システム
WO2022190889A1 (ja) * 2021-03-09 2022-09-15 東京エレクトロン株式会社 成膜方法および成膜システム
WO2023176535A1 (ja) * 2022-03-17 2023-09-21 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2023182039A1 (ja) * 2022-03-25 2023-09-28 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2024062634A1 (ja) * 2022-09-23 2024-03-28 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
WO2024090273A1 (ja) * 2022-10-28 2024-05-02 東京エレクトロン株式会社 成膜方法および成膜装置

Also Published As

Publication number Publication date
US20220336205A1 (en) 2022-10-20
CN114303230A (zh) 2022-04-08
KR20220050198A (ko) 2022-04-22
TW202122617A (zh) 2021-06-16

Similar Documents

Publication Publication Date Title
JP2021044534A (ja) 成膜方法
CN108899266B (zh) 用于在金属层上沉积氧化硅的方法和装置
WO2021044882A1 (ja) 成膜方法
US9741558B2 (en) Selectively lateral growth of silicon oxide thin film
WO2022039032A1 (ja) 成膜方法および成膜システム
US9708707B2 (en) Nanolayer deposition using bias power treatment
CN111373507A (zh) SiO2在铜存在下在电介质表面上的选择性生长
TWI721022B (zh) 低介電常數含鋁蝕刻終止膜的形成方法
US20160326646A1 (en) Method for forming manganese-containing film
WO2022070909A1 (ja) 成膜方法及び成膜装置
US20220189778A1 (en) Method for forming film
WO2022124087A1 (ja) 成膜方法
WO2022190889A1 (ja) 成膜方法および成膜システム
WO2023282131A1 (ja) エッチング方法
WO2023176535A1 (ja) 成膜方法及び成膜装置
WO2023276795A1 (ja) 成膜方法及び成膜装置
WO2023153284A1 (ja) 成膜方法及び成膜装置
WO2023132245A1 (ja) 成膜方法及び成膜装置
KR102668418B1 (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들
JP2024019774A (ja) 成膜方法および成膜装置