CN108899266B - 用于在金属层上沉积氧化硅的方法和装置 - Google Patents

用于在金属层上沉积氧化硅的方法和装置 Download PDF

Info

Publication number
CN108899266B
CN108899266B CN201810391485.XA CN201810391485A CN108899266B CN 108899266 B CN108899266 B CN 108899266B CN 201810391485 A CN201810391485 A CN 201810391485A CN 108899266 B CN108899266 B CN 108899266B
Authority
CN
China
Prior art keywords
silicon oxide
layer
metal
semiconductor substrate
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810391485.XA
Other languages
English (en)
Other versions
CN108899266A (zh
Inventor
巴德里·N·瓦拉达拉简
桂哲
龚波
安德鲁·约翰·迈凯洛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN108899266A publication Critical patent/CN108899266A/zh
Application granted granted Critical
Publication of CN108899266B publication Critical patent/CN108899266B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及用于在金属层上沉积氧化硅的方法和装置。在具有暴露的金属(例如W、Cu、Ti、Co、Ta)层的衬底上沉积氧化硅薄层,而不引起金属的显著氧化。该方法包括:(a)使具有暴露的金属层的衬底与含硅前体接触并将前体吸附在衬底上;(b)从处理室中去除未被吸附的前体;以及(c)使被吸附的前体与在包含氧源(例如,O2、CO2、N2O、O3)和H2的工艺气体中形成的等离子体接触以由含硅前体形成氧化硅,同时抑制金属氧化。可以重复这些步骤,直到形成具有期望厚度的氧化硅膜。在一些实施方式中,使用氧化硅膜来改善随后沉积的碳化硅的核化。

Description

用于在金属层上沉积氧化硅的方法和装置
技术领域
本发明涉及半导体衬底处理方法。具体地,本发明涉及在半导体器件制造期间在金属层上沉积氧化硅层的方法。
背景技术
半导体器件的制造通常涉及在金属层上沉积介电层。这种介电层的示例包括用于存储器堆叠的封装层,以及各种扩散阻挡层和蚀刻停止层。碳化硅(SiC)是用于这种应用的优选介电材料之一。SiC薄膜的种类包括掺杂氧的碳化硅(也称为碳氧化硅)、掺杂氮的碳化硅(也称为碳氮化硅)、掺杂氧和氮的碳化硅(也称为碳氧氮化硅)和未掺杂的碳化硅。通常通过化学气相沉积(CVD)(例如通过等离子体增强化学气相沉积(PECVD))沉积碳化硅。
发明内容
碳化硅通常需要沉积在具有暴露的金属层和暴露的电介质的衬底上,其中衬底的表面包括多个凹陷特征。确定碳化硅在金属上的沉积通常相对于同一衬底上的电介质上的沉积发生核化延迟,从而导致沉积的碳化硅层的厚度不均匀,或者碳化硅覆盖不足(或者没有覆盖)的金属。当碳化硅层的目标厚度相对较小(例如2nm或更小)时,该效果特别有害。在这种情况下,金属上的核化延迟会导致金属上的碳化硅覆盖缺乏,并因此导致碳化硅层的功能受到影响。
这个问题在本文中通过在沉积碳化硅层之前在衬底上沉积薄的氧化硅层来解决。沉积在金属和电介质上的氧化硅减少或消除了核化延迟并使得能够共形沉积各种厚度的碳化硅层。
在一个方面,提供了一种用于处理半导体衬底的方法,其中该方法包括:(a)提供包括暴露的金属层和暴露的介电层的半导体衬底;(b)在暴露的金属层和暴露的介电层两者上沉积氧化硅层;和(c)在氧化硅层上沉积碳化硅层。在一些实施方式中,在(a)中提供的衬底具有多个凹陷特征,并且通过原子层沉积(ALD)共形地沉积氧化硅层。该方法可用于改善各种金属(包括W、Cu、Co、Ti和Ta)上的碳化硅覆盖率。在一个示例中,该方法在具有暴露的W层和多个凹陷特征的衬底上实现。在一些实施方式中,氧化硅层相对较薄,例如小于约
Figure BDA0001643554250000021
例如介于约5埃-10埃之间。
尽管通常氧化硅可以通过任何合适的方法沉积,但高度优选的是在金属上形成氧化硅,同时抑制金属氧化。本文提供的方法允许氧化硅沉积而不引起上面沉积有氧化硅的金属层的氧化。这是所提供的方法的显著优点,因为金属的氧化导致金属线的电阻增加,并且因此导致不希望的电阻-电容(RC)延迟的不期望的增加,这是不希望有的。
根据本发明的另一方面,提供了一种用于处理半导体衬底的方法,其中该方法包括:(a)提供包括暴露的金属层(例如W、Cu、Co、Ti、Ta)的半导体衬底;(b)在所述金属层之上形成氧化硅层并且使氧化硅层与所述金属层接触,其中形成所述氧化硅层包括使吸附到所述金属层的含硅前体与在包含H2和氧源(例如,O2、O3、CO2、N2O)的工艺气体中形成的等离子体反应,其中工艺气体的组成被配置用于抑制金属层的氧化。在一些实施方案中,所形成的氧化硅层具有小于约10埃的厚度,例如约5埃。该方法可用于在碳化硅沉积之前沉积氧化硅,如本发明的前一方面中所提供的那样,或者独立地用于需要在金属上沉积氧化硅的任何其他工艺顺序。
在一些实施方案中,工艺气体的组成被配置为通过使用相对高的H2与氧源的比率来抑制金属的氧化。在一些实施方式中,工艺气体中H2比氧源的体积比为至少约4:1。在一些实施方案中,氧源是O2,并且工艺气体中H2比O2的体积比至少约为4:1,例如至少约9:1,如至少约10:1,并且在其中气体基本上由H2和O2组成的实施方式中,该比率为至少约96:1,例如至少约100:1。在一个实施方式中,工艺气体基本上由H2、O2和惰性气体(例如He)组成,其中H2的浓度为介于约2体积%-10体积%之间,并且O2的浓度小于约1体积%。例如,在该实施方式中,H2与O2的体积比应当优选至少约4:1。在另一实施方式中,工艺气体基本上由H2和O2组成,其中H2的浓度大于约95体积%,并且O2的浓度小于约5体积%(例如,0.1-4.5体积%)。
在一些实施方式中,形成氧化硅层包括:(i)使所述半导体衬底与所述含硅前体接触并将所述含硅前体吸附在所述金属层上;(ii)通过清扫和/或抽排容纳所述半导体衬底的处理室来去除所述未被吸附的含硅前体;(iii)使所述半导体衬底与在包含H2和所述氧源的工艺气体中形成的等离子体接触,并由所吸附的所述含硅前体形成氧化硅;(iv)在(iii)之后清扫和/或排空处理室;以及(v)重复步骤(i)-(iv),直到沉积所需厚度的氧化硅膜。在一些实施方式中,该方法还包括预先清洁暴露的金属以在形成氧化硅之前去除可能存在于金属表面上的任何金属氧化物。此外,在一些实施式中,该方法还可以包括:在已经形成氧化硅之后,使具有暴露的氧化硅层的半导体衬底与还原等离子体(例如氢等离子体)接触以将氧化硅层之下的残余金属氧化物还原为金属。
在一些实施方式中,氧化硅层形成在具有暴露的金属表面和暴露的电介质表面的衬底上,并且沉积发生在两种类型的表面上。在一个工艺流程中,然后将碳化硅层沉积到氧化硅层上。
所有提供的方法可以与光刻处理方案集成,并且可以进一步包括:将光致抗蚀剂施加到所述半导体衬底;使所述光致抗蚀剂暴露于光;图案化所述光致抗蚀剂并将所述图案转移到所述半导体衬底;以及选择性地从所述半导体衬底去除所述光致抗蚀剂。
根据另一方面,提供了一种用于在具有暴露的金属层的衬底上形成氧化硅膜的装置。在一个实施方式中,该装置包括具有用于保持半导体衬底的支撑件的处理室、用于产生等离子体的系统和控制器。控制器包括用于执行本文提供的任何方法的程序指令。在一些实施方式中,控制器被编程为:在暴露的金属层之上形成氧化硅层并使该氧化硅层与暴露的金属层接触,其中形成氧化硅层包括使吸附到金属层的含硅前体与在包含H2和氧源(例如,O2、O3、CO2、N2O)的气体工艺中形成的等离子体反应,其中该工艺气体的组成被配置用于抑制该金属层的氧化。
根据另一方面,提供了一种被配置用于在具有暴露的金属层和暴露的介电层的衬底上沉积碳化硅的装置。该装置包括一个或多个配置用于容纳衬底的处理室和具有用于以下操作的程序指令的控制器:(a)在暴露的金属层和暴露的介电层两者上沉积氧化硅层;以及(b)在氧化硅层上沉积碳化硅层。在一些实施方式中,该装置被配置用于在一个工具的相同室中沉积氧化硅和碳化硅。在其他实施方式中,沉积可以在不同的室中进行。
根据另一方面,本文提供了一种系统,其包括本文提供的任何装置和晶片步进机(stepper)。
根据另一方面,提供了一种非暂时性计算机机器可读介质。它包括用于控制沉积装置的程序指令,并且可以包括用于执行本文提供的任何方法的代码。在一些实施方式中,提供了用于以下操作的代码:(a)将氧化硅层沉积到衬底上的暴露的金属层和暴露的介电层两者上;以及(b)在氧化硅层上沉积碳化硅层。在一些实施方式中,提供了用于以下操作的代码:在暴露的金属层之上形成氧化硅层并使该氧化硅层与暴露的金属层接触,其中形成氧化硅层包括使吸附到金属层的含硅前体与在包含H2和氧源(例如,O2、O3、CO2、N2O)的工艺气体中形成的等离子体反应,其中该工艺气体的组成被配置用于抑制该金属层的氧化。
根据另一方面,提供了一种半导体器件,其中所述半导体器件包括:(a)金属层和介电层;(c)与金属层和介电层两者都接触的氧化硅层;以及(c)在与接触金属层和介电层的表面相反的表面处与氧化硅层接触的碳化硅层。在一些实施方式中,氧化硅层的厚度小于约50埃。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于处理半导体衬底的方法,所述方法包括:
(a)提供包括暴露的金属层的半导体衬底;
(b)在所述金属层之上形成氧化硅层并使其与所述金属层接触,其中形成所述氧化硅层包括使吸附到所述金属层上的含硅前体与在包含H2和氧源的工艺气体中形成的等离子体反应,其中所述工艺气体的组成被配置用于抑制所述金属层的氧化;以及
(c)在(b)之后使具有暴露的氧化硅层的所述半导体衬底与还原等离子体接触,以将所述氧化硅层下面的残留金属氧化物还原成金属。
2.根据条款1所述的方法,其中在所述工艺气体中H2比氧源的体积比至少为4:1。
3.根据条款1所述的方法,其中所述氧源是O2,并且其中所述工艺气体中H2比O2的体积比至少为9:1。
4.根据条款1所述的方法,其中所述氧源是O2,并且其中所述工艺气体中H2比O2的体积比至少为10:1。
5.根据条款1所述的方法,其中所述氧源是O2,并且其中所述工艺气体基本上由浓度为2%-10%的H2、浓度低于1%的O2、以及惰性气体组成。
6.根据条款1所述的方法,其中所述氧源是O2,并且其中所述工艺气体基本上由浓度大于95%的H2和浓度小于5%的O2组成。
7.根据条款1所述的方法,其中所述氧源选自由O2、O3、CO2和N2O组成的组。
8.根据条款1所述的方法,其中所述氧源是O2
9.根据条款1所述的方法,其中所述金属选自由W、Cu、Co、Ti和Ta组成的组。
10.根据条款1所述的方法,其中所述金属是W。
11.根据条款1所述的方法,其中(b)包括:
(i)使所述半导体衬底与所述含硅前体接触并将所述含硅前体吸附在所述金属层上;
(ii)通过清扫和/或抽排容纳所述半导体衬底的处理室来去除所述未被吸附的含硅前体;
(iii)使所述半导体衬底与在包含H2和所述氧源的所述工艺气体中形成的等离子体接触,并由被吸附的所述含硅前体形成氧化硅;
(iv)在(iii)之后清扫和/或排空处理室;以及
(v)重复步骤(i)-(iv)。
12.根据条款1所述的方法,其还包括在(b)之前预清洁所述暴露的金属层以从所述暴露的金属层去除金属氧化物。
13.根据条款1所述的方法,其中在(b)中在包含H2和氧源的工艺气体中形成的所述等离子体将所述金属层上的金属氧化物还原成金属。
14.根据条款1所述的方法,其中所述氧化硅在(b)中形成为小于10埃的厚度。
15.根据条款1所述的方法,其中所述氧化硅在(b)中形成为至5埃的厚度。
16.根据条款1所述的方法,其中,在(a)中提供的所述半导体衬底包括多个凹陷特征并且还包括暴露的介电层,并且其中在所述暴露的金属层和所述暴露的介电层两者上形成所述氧化硅。
17.根据条款16所述的方法,其还包括在所述氧化硅层上沉积碳化硅层。
18.根据条款1所述的方法,其还包括:
将光致抗蚀剂施加到所述半导体衬底上;
使所述光致抗蚀剂暴露于光;
图案化所述光致抗蚀剂并将所述图案转移到所述半导体衬底;以及
选择性地从所述半导体衬底去除所述光致抗蚀剂。
19.一种用于处理半导体衬底的方法,所述方法包括:
(a)提供包括暴露的金属层和暴露的介电层的半导体衬底,其中所述半导体衬底具有多个凹陷特征;
(b)将氧化硅层沉积到所述暴露的金属层和所述暴露的介电层上至小于
Figure BDA0001643554250000061
的厚度;以及
(c)将所述碳化硅层沉积到所述氧化硅层上,使得所述碳化硅层的厚度大于所述氧化硅层的厚度。
20.根据条款19所述的方法,其中所述暴露的金属层是钨层。
21.根据条款19所述的方法,其中所述氧化硅层被沉积至小于
Figure BDA0001643554250000072
的厚度。
22.根据条款19所述的方法,其中所述半导体衬底是部分制造的磁阻随机存取存储器(RAM)器件。
23.根据条款19所述的方法,其中所述碳化硅层共形地沉积至
Figure BDA0001643554250000073
的厚度。
24.根据条款19所述的方法,其中所述氧化硅层通过ALD沉积。
25.一种沉积装置,其包括:
(a)处理室,其具有被配置用于保持半导体衬底的衬底保持器;
(b)与所述处理室耦合的等离子体产生系统;和
(c)包含程序指令的控制器,所述指令用于:
(i)致使通过使吸附到所述金属层的含硅前体与在包含H2和氧源的工艺气体中形成的等离子体反应,在所述半导体衬底上的所述金属层之上形成氧化硅层并使所述氧化硅层与所述金属层接触,其中所述工艺气体的组成被配置用于抑制所述金属层的氧化;以及
(ii)致使对所形成的具有暴露的氧化硅层的所述半导体衬底用还原等离子体处理,以将所述氧化硅层下面的残留金属氧化物还原。
26.一种用于在半导体衬底上沉积材料的系统,所述系统包括:
(a)被配置用于容纳半导体衬底的处理室;
(b)与所述处理室耦合的等离子体产生系统;和
(c)控制器,其包括用于在具有多个凹陷特征、暴露的金属层和暴露的介电层的半导体衬底上沉积材料的程序指令,所述程序指令用于:
(i)致使在所述暴露的金属层和所述暴露的介电层两者上沉积氧化硅层至小于
Figure BDA0001643554250000071
的厚度;以及
(ii)致使在所述氧化硅层上沉积碳化硅层,使得所沉积的所述碳化硅层的厚度大于所述氧化硅层的厚度。
27.一种半导体器件,其包括:
(a)金属层和介电层;
(b)具有接触所述金属层和所述介电层两者的表面的氧化硅层,其中所述氧化硅层的厚度小于50埃;和
(c)在与接触所述金属层和所述介电层的氧化硅表面相反的表面处接触所述氧化硅层的碳化硅层,其中所述碳化硅层的厚度大于所述氧化硅层的厚度。
28.根据条款27所述的半导体器件,其中所述金属层和所述氧化硅层之间的界面不含金属氧化物。
29.根据条款27所述的半导体器件,其中所述金属选自由W、Cu、Co、Ti和Ta组成的组。
30.根据条款27所述的半导体器件,其中所述氧化硅层具有小于
Figure BDA0001643554250000081
的厚度。
本发明的这些和其它特征和优点在下文参照相关附图进行更详细地描述。
附图说明
图1A-1C示出了本文提供的实施方式的在处理过程中半导体衬底的横截面图。
图2是本文提供的处理方法的工艺流程图。
图3是根据本文提供的实施方式的沉积氧化硅的方法的工艺流程图。
图4是根据本文提供的实施方式的可用于沉积氧化硅膜的ALD处理站的示意图。
图5示出了根据本文提供的实施方式的多站处理工具的示意图。
图6是根据本文提供的实施方式的被配置用于沉积膜的处理工具的框图。
图7是图解沉积在钨层上的碳化硅的厚度与沉积时间的函数关系的实验曲线。
图8是图解用不同等离子体处理后的钨电阻率的实验图。
具体实施方式
在以下的详细描述中,阐述了许多具体细节以提供对所公开的实现方式的充分理解。然而,对于本领域技术人员而言,显而易见,所公开的实现方式可以在没有这些具体细节的情况下或者通过使用替代的元件或者工艺实施。在其他示例中,未详细描述公知的工艺、程序和部件,以避免不必要地模糊所公开的实施方式的方面。
在本申请中,术语“半导体晶片”、“半导体衬底”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员应理解,术语“部分制造的集成电路”可以指在其上面进行集成电路制造的许多阶段中的任何阶段期间的硅晶片。下面的详细描述描述了在晶片上的氧化硅层的沉积。然而,所公开的实现方式并不限于此。工件可以是各种形状、尺寸和材料。除了半导体晶片之外,可以利用所公开的实现方式的优点的其它工件还包括诸如印刷电路板等各种制品。本文所用的术语“半导体晶片”或“半导体衬底”是指在其主体内任何地方都具有半导体材料的衬底,并且可以理解,半导体材料不需要暴露。在许多实施方式中,半导体衬底包括形成在半导体材料上的一个或多个介电层和导电层。在半导体器件工业中使用的晶片或衬底通常具有200mm、或300mm、或450mm的直径。除非另有说明,否则本文所述的处理细节(例如,流率、功率水平等)与处理300mm直径的衬底或处理被配置为处理300mm直径的衬底的室相关,并且可适当缩放用于其他尺寸的衬底或室。
本文提供的氧化硅膜包含Si和O。虽然其他元素(例如,C和N)可存在于膜中,但在许多实施方式中,膜基本上由Si和O以及任选地H组成,并且包含不超过10原子%(并且在一些实施方式中不超过5原子%)的其他元素。
本文提供的碳化硅膜包括掺杂的和未掺杂的碳化硅,例如不同化学计量的SiC、SiCN、SiCNO和SiCO(化学式表示元素组成,但化学计量可以变化)。氢可以任选存在于任何碳化硅膜(例如,SiC、SiCN、SiCNO和SiCO膜)中。
这里使用的术语“原子层沉积”(ALD)包括等离子体辅助的原子层沉积,并且涉及主要依赖于衬底表面上的反应而不是处理室主体中的反应的沉积方法。
根据一个方面,提供了一种用于处理半导体衬底的方法,其中该方法允许在具有暴露的金属层和暴露的介电层的衬底上共形且均匀地沉积碳化硅膜。例如,暴露的介电层可以包括氮化硅、氧化硅、低k电介质、超低k电介质、有机和/或多孔电介质,金属氧化物等。暴露的金属层可以包括各种金属,例如W、Ti、Ta、Co和Cu。在一些实施方式中,衬底还可以包括暴露的半导体或不同类型的材料,例如硅(包括多晶硅)、锗、GeSi等。通常,衬底的暴露表面可以是平坦的或者可以包括凹陷特征。本文提供的方法对于具有凹陷特征的衬底特别有利,因为它们允许碳化硅共形沉积,即使当需要沉积非常薄的层时也如此。所提供的方法可用于沉积具有各种厚度(例如
Figure BDA0001643554250000101
)的碳化硅膜,并且对于沉积薄碳化硅膜(例如,厚度为/>
Figure BDA0001643554250000102
Figure BDA0001643554250000103
的膜)特别有利。
图1A-1C提供了根据本文提供的方法进行处理的衬底的实例。显示了在处理的不同阶段的衬底的示意性横截面图。图2提供了由图1A-1C的结构描绘的处理方法的工艺流程图。参照图2,该工艺在201开始,在201,提供具有暴露的介电层和暴露的金属层的衬底。图1A示出了具有暴露的金属层和暴露的介电层的半导体衬底,例如部分制造的磁阻随机存取存储器(MRAM)器件。所示衬底在两个材料堆叠之间具有凹陷特征,其中所述材料堆叠可以包括磁性材料、介电材料、导电材料等。所述堆叠可以包括形成在暴露的层101上的多个层(层103、105a-c和107)。在一个实施方式中,半导体衬底包括暴露的介电层107(例如氮化硅层)和暴露的金属层105b(例如钨层)。堆叠可以位于多晶硅层101上。
接下来,在操作203中,该工艺继续,在金属层和介电层两者上沉积薄的氧化硅层来进行。在一个优选实施方式中,通过ALD共形地沉积氧化硅,并且包括将含硅前体吸附到衬底上,并使其与含氧反应物反应以形成氧化硅。更优选地,使用如本文所提供的配置用于抑制金属氧化的方法通过ALD来沉积氧化硅。在一些实施方式中,将氧化硅沉积至约
Figure BDA0001643554250000111
的厚度,例如约5埃的厚度。所得到的结构如图1B所示,其中氧化硅层109共形地涂覆衬底(包括介质层107、金属层105b和衬底的所有其他先前暴露的层)的表面。
接下来,在操作205中,在氧化硅层上沉积碳化硅层。参考图1C,示出了沉积在氧化硅层109上的碳化硅层111并且碳化硅层111与氧化硅层109接触。在一些实施方式中,碳化硅被沉积至介于约50埃和约500埃之间的厚度。例如,沉积在MRAM堆叠上的封装层可具有介于约150埃和约300埃之间的厚度。碳化硅可以例如通过PECVD或ALD沉积。在一些实施方式中,碳化硅使用下述方法沉积:在2016年9月28日提交的、发明人为van Schravendijk等人的、并且名称为“Methods of Encapsulation”的美国专利申请序列No.15/279,310中描述的方法,或者在2016年1月12日授予Varadarajan等人的、名称为“Method to Obtain SiCClass of Films of Desired Composition and Film Properties”的美国专利No.9,234,276中描述的方法,为了所有目的将其全部内容通过引用并入本文。在一个说明性实施方式中,沉积碳化硅的方法涉及提供衬底并且使第一有机硅前体和第二不同的有机硅前体一起流动到衬底上。例如,前体可以包括不同比例的硅-碳键。该方法还包括从源气体提供处于显著低能量状态的一种或多种自由基,其中所述自由基与第一和第二有机硅前体反应以在衬底上形成碳化硅。自由基可以通过将源气体(例如氢气)暴露于远程等离子体来形成。
在本发明的一单独的方面,提供了一种在抑制金属氧化的同时将氧化硅沉积到金属层上的方法。该方法可以用于在图2所示的工艺中沉积氧化硅,或者独立地用于在伴随很少的金属氧化或几乎没有伴随的金属氧化的情况下需要在金属上形成氧化硅的任何应用中。该工艺包括:提供包括暴露的金属层的半导体衬底;以及在所述金属层之上形成氧化硅层并且使氧化硅层与所述金属层接触,其中形成所述氧化硅层包括使吸附于所述金属层上的含硅前体与在包含H2和氧源的工艺气体中形成的等离子体反应,其中所述工艺气体的组成被配置用于抑制金属层的氧化。例如,可以选择工艺气体中H2与氧源的比率,以便最小化或消除金属的氧化,同时仍然能够将含硅前体转化为氧化硅。在一些实施方式中,氧源是O2,其在工艺气体中以介于约0.01%-5%,例如约0.01%-4.5%的浓度提供。另外,该方法可以任选地包括预处理和后处理操作,其被配置用于将可能存在的任何金属氧化物还原成金属。
各种含硅前体和氧源可用于氧化硅沉积。合适的含硅前体的实例包括但不限于氨基硅烷(包括烷基氨基硅烷)和经卤素取代的硅烷(例如氯硅烷)等。氨基硅烷前体的实例包括三(二甲基氨基)硅烷(TDMAS),双(二乙基氨基)硅烷(BDEAS),双(叔丁基氨基)硅烷(BTBAS)。氧源的实例包括O2、O3、CO2和N2O。在一个实例中,氧源是O2并且含硅前体是氨基硅烷,例如双(二甲基氨基)甲基硅烷。
图3中示出了这种氧化硅形成工艺的一个实例的工艺流程图。首先,提供衬底,其中衬底包含暴露的金属(例如,W、Ti、Ta、Co、Cu等等)层。在一些实施方式中,衬底还可以包括介电层(诸如在图1A中所示的衬底中)或另一类材料层。在其他实施方式中,金属层是衬底上唯一暴露的材料层。衬底可以具有平坦的表面或可以包括多个凹陷特征。该工艺可以在宽的温度范围内进行,例如在约50℃-500℃之间,例如在约50℃-400℃之间的温度下进行。
在可选的步骤301中,预先清洁衬底以从金属表面去除金属氧化物。例如,可以通过用还原等离子体处理衬底,将在钨表面上的钨氧化物还原成钨。例如,可用在包含氢源(例如H2)的工艺气体中形成的等离子体处理衬底。在一些实施方式中,衬底用在基本上由H2组成(或基本由氢和惰性气体组成)的工艺气体中形成的等离子体处理衬底。在该处理过程中,金属表面上的金属氧化物与等离子体反应并且(例如通过还原成金属)被除去。这样的等离子体处理可以通过直接等离子体(在容纳衬底的相同隔室中形成的等离子体)或通过远程等离子体(在不同隔室中形成并且供给到容纳衬底的隔室中的等离子体)来执行。在一个实例中,通过用在基本上由H2组成的工艺气体中形成的直接等离子体处理衬底来去除存在于钨表面上的钨氧化物。
接下来,开始氧化硅沉积。衬底定位在处理室中,该处理室配置用于顺序地接收气体(例如汽化)形式的试剂,例如在ALD处理室中进行。在操作303中,向处理室提供含硅前体并将其吸附到包括暴露的金属层的表面的衬底表面上。在一些实施方式中,含硅前体与惰性载气(例如氦)一起流入处理室。在一个实例中,氨基硅烷与氦以混合物形式流入处理室。在一些实施方式中,这种混合物的流率在约100sccm-1000sccm之间,其中含硅前体的流率在约5sccm-100sccm之间。选择该步骤的温度和压强以使前体能够吸附在衬底表面上。在一些实施方式中,压强介于约1托-7托之间。接下来,在含硅前体已经被吸附后,在操作305中清扫和/或排空处理室,以从处理室除去未被吸附的含硅前体,同时在衬底上留下被吸附的前体层。例如,可以将惰性气体(例如氦气、氩气或氮气)引入到处理室中以进行清扫。在接下来的步骤307中,使被吸附的含硅前体与在包含H2和氧源的工艺气体中形成的等离子体接触以形成氧化硅,同时抑制上面吸附有所述含硅前体的金属的氧化。在一些实施方式中,通过选择H2比氧源的足够高的比率来抑制氧化。例如,在一些实施方案中,H2比氧源的体积比为至少约4:1。在一个实施方案中,氧源是O2并且H2比O2的比率至少约为9:1。例如,工艺气体可以基本上由H2、O2和惰性气体组成,其中H2的浓度为约2-10体积%并且O2的浓度小于约1体积%。在一个实例中,工艺气体由O2(以5-20sccm的流率流动)、H2(以约200sccm的流率流动)和He(以约9800sccm的流率流动)组成。在另一个实例中,工艺气体主要由H2(浓度大于95体积%)和O2(浓度小于约5体积%)组成。在一些实施方式中,等离子体直接形成在容纳衬底的处理室或处理室隔室中。在其他实施方式中,等离子体远程地在与容纳衬底的隔室不同的隔室中、在工艺气体中形成,然后被输送到容纳衬底的隔室。在一些实施方式中,等离子体使用介于约2.45MHz-13.56MHz范围内的频率,并且使用约2kW-6kW范围内的功率形成。在一个示例中,使用13.56MHz的频率和3kW的功率,在包含H2、O2以及可选的惰性气体的工艺气体中形成等离子体。在一些实施方式中,在该反应步骤中使用的压强小于约2托,例如1.5托或更小。这种低压与较高的沉积速率有关。
接下来,在操作309中,清扫和/或排空处理室以去除工艺气体物质,并且在操作311中确定是否需要进一步沉积氧化硅。在一些实施方式中,包含操作303-309的单个循环沉积平均约0.7埃-1.0埃的材料。如果确定需要沉积更多的氧化硅,则根据需要重复该循环多次以将氧化硅沉积到期望的厚度。在一些实施方式中,循环执行1-100次,诸如1-10次。在一些实施方式中,沉积层非常薄,例如小于约10埃厚,例如约5埃厚。例如,为了防止碳化硅层的核化延迟,优选约5-10埃厚的氧化硅层。
接下来,在操作313中,可以执行可选步骤以去除可能位于氧化硅层之下的任何金属氧化物。尽管沉积循环被配置为抑制金属氧化,但是在一些情况下,金属氧化物可能无意中形成,并且可能需要通过用氢等离子体(在未添加氧化物质的情况下基本上由氢组成的气体中形成的等离子体)处理衬底来去除(还原成金属)。用氢等离子体处理将穿透薄的氧化硅暴露层并且将还原氧化硅下的金属氧化物而不影响氧化硅层的质量。在一个说明性示例中,使用在约1.5托的压强下使用3kW功率形成的远程等离子体来执行等离子体处理。
在一些实施方式中,优选避免在氧化硅沉积期间金属的氮化,并且进行沉积工艺以防止这种氮化。例如,在一些实施方式中,含氮试剂至少在涉及等离子体处理的步骤期间以及在一些实施方案中在整个工艺期间不与衬底接触存在。金属的无意氮化会增加导电线的电阻,并对RC延迟产生负面影响。
所提供的方法可以用于存储器/逻辑器件的制造以及各种其他应用中。该方法使得碳化硅能在具有暴露的金属和电介质的衬底上均匀和保形地沉积,并且独立地或结合该方法用于在金属上沉积氧化硅而不引起金属氧化。确定了工艺条件,其中金属不被氧化(或者如果被氧化,则被还原成零氧化态的金属),而含硅前体被氧化以形成氧化硅。
装置
本文公开的实施方式的另一方面是配置成完成本文所述方法的装置。合适的装置包括用于完成处理操作的硬件和具有根据所公开的实现方式来控制处理操作的指令的系统控制器。在一些实施方式中,该装置包括具有用于执行图2或图3中提供的方法步骤的程序指令的系统控制器。包含根据所公开的实施方案的用于控制工艺操作的指令的机器可读介质可以耦合到系统控制器。用于沉积氧化硅的装置可以包括远程或直接等离子体源。在一些实施方式中远程等离子体源是优选的,因为远程等离子体可以使否则由直接等离子体引起的恶劣反应条件最小化。
用于完成沉积工艺操作的硬件可以包括原子层沉积(ALD)处理室。在一些实施方式中,形成氧化硅的所有操作在单个处理室中执行。在其他实现方式中,衬底可以从室传送到室以执行方法的不同步骤。例如,衬底可以在预清洁室中预先清洁,然后可以被转移到用于氧化硅沉积的ALD室。包含用于根据所公开的实现方式来控制工艺操作的指令的机器可读介质可以耦合到系统控制器。
在一些实施方式中,沉积在等离子体增强原子层沉积(PEALD)反应器中进行,该反应器是可从Lam Research Corp.(Fremont,CA)获得的Vector Excel沉积模块的一部分。在一些实施方式中,碳化硅的沉积与氧化硅的沉积在相同的处理室中进行。在一些实施方式中,这些沉积可以在单个处理室的分开的站中进行。
图4示出了根据某些实施方式的适用于沉积氧化硅的远程等离子体装置的示意图。装置400包括反应室410、远程等离子体源460、硅前体气体输送源450和喷头组件420。在反应室410内部,衬底430搁置在台架或底座435上。在一些实施方式中,底座435可以装配有加热/冷却元件。控制器440可以连接到装置400的部件以控制装置400的操作。例如,控制器440可以包含用于控制装置400的操作的工艺条件的指令,诸如温度工艺条件和/或压力工艺条件。
在操作期间,一种或多种气体混合物经由耦合到反应室410的一个或多个气体入口引入到反应室410中。前体气体输送源450可包括耦合到反应室410以用于输送含硅前体气体的气体入口455。第二气体入口465可以经由喷头组件420耦合到反应室410并且连接到远程等离子体源460。第二气体入口465可以连接到喷头组件420,以用于输送在具有氧源和H2的工艺气体中的等离子体中形成的自由基。第二气体入口465可以连接到为自由基物质提供工艺气体的容器470。在包括远程等离子体配置的实施方式中,用于前体和在远程等离子体源460中产生的自由基物质的输送线被分开。因此,前体和自由基物质在到达衬底430之前基本上不相互作用。
一种或多种自由基物质可以在远程等离子体源460中产生并且被配置为经由第二气体入口465进入反应室410。任何类型的等离子体源可以用于远程等离子体源460中以产生自由基物质。这包括但不限于电容耦合等离子体、微波等离子体、DC等离子体、电感耦合等离子体和激光产生等离子体。电容耦合等离子体的示例可以是射频(RF)等离子体。高频等离子体可配置为在13.56MHz或更高频率下工作。这种远程等离子体源460的示例可以是由加利福尼亚州弗里蒙特的Lam Research Corporation制造的
Figure BDA0001643554250000161
这种RF远程等离子体源460的另一个示例可以是由马萨诸塞州威尔明顿的MKS Instruments制造的
Figure BDA0001643554250000162
其可以在440kHz下操作并且可以作为子单元提供,该子单元被螺栓连接到用于并行处理一个或多个衬底的较大装置上。在一些实施方式中,微波等离子体可以用作远程等离子体源460,例如也由MKS Instruments制造的/>
Figure BDA0001643554250000163
微波等离子体可以配置为以2.45GHz的频率工作。
远程等离子体源460可包括等离子体圆顶或其他形状以形成用于从容器450输送源气体的体积。远程等离子体源的实例可在美国专利No.8,084,339和美国专利No.8,217,513中描述,其出于所有目的通过引用整体并入本文。在一些实施方式中,远程等离子体源460可以包括连接到容器470的具有多个孔的入口475,所述多个孔配置为将源气体分配到远程等离子体源460的内部体积中。
当源气体进入远程等离子体源460时,可以使用射频(RF)线圈(未示出)产生等离子体,射频(RF)线圈可以经由匹配网络连接到RF源480。等离子体可以产生自由基物质,自由基物质从第二气体入口465流过喷头组件420中的多个孔,以将自由基物质分配到反应室410中。
控制器440可以包含根据本发明的用于控制装置400的工艺条件和操作的指令。控制器440通常将包括一个或多个存储器装置和一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。用于实现适当控制操作的指令在处理器上执行。这些指令可以存储在与控制器440相关联的存储器器件上,或者它们可以通过网络提供。包含根据本发明的用于控制工艺操作的指令的机器可读介质可以通信地耦合到控制器440。
在一些实施方式中,本文所提供的衬底在多站式工具中处理。图5示出了多站式处理工具500的实施方式的示意图,多站式处理工具500具有入站装载锁502和出站装载锁504,入站装载锁502和出站装载锁504中的任一者或两者可包括远程等离子体源。在大气压下,机械手506被配置为将晶片从经由晶舟508装载的盒通过大气端口510移动到入站装载锁502中。晶片由机械手506置于入站装载锁502中的基座512上,关闭大气端口510,并且抽空装载锁。其中,入站装载锁502包括远程等离子体源,晶片可以暴露于装载锁中的远程等离子体处理中,然后被引入到处理室514。进一步,晶片也可以在入站装载锁502中被加热,例如,以除去水分和吸附的气体。接着,打开通向处理室514的室传输端口516,而另一个机械手(未示出)将晶片放置到反应器中于在反应器中所示出的基座上以进行处理。
所描绘的处理室514包括四个处理站,在图5所示的实施方式中,编号为1至4。每个站具有加热基座(对于站1以518显示)以及气体管线入口。应当理解,在一些实施方式中,每一个处理站可以具有不同的用途或多种用途。虽然所描绘的处理室514包括四个站,但是应当理解,根据本公开的处理室可以具有任何合适数量的站。在一些实施方式中,处理室可以具有5个或更多个站,而在其他实施方式中,处理室可以具有3个或更少的站。
图5还描绘了用于在处理室514内传送晶片的晶片搬运系统590的一个实施方式。在一些实施方式中,晶片搬运系统590可以在各种处理站之间和/或在处理站和装载锁之间传送晶片。应当理解,可以采用任何合适的晶片搬运系统。非限制性实例包括晶片旋转式传送带和晶片搬运机械手。图5还描绘了用于控制处理工具500的工艺条件和硬件状态的系统控制器550的一个实施方式。系统控制器550可包括一个或多个存储器设备556、一个或多个大容量存储设备554以及一个或多个处理器552。处理器552可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。
在一些实施方式中,系统控制器550控制处理工具500的活动中的全部。系统控制器550执行系统控制软件558,系统控制软件558存储在大容量存储设备554中,装载到存储器设备556中,并且在处理器552上执行。系统控制软件558可包括用于控制时序、气体的混合、室和/或站的压强、室和/或站的温度、清扫条件和时序、晶片的温度、RF功率电平、RF频率、衬底、基座、卡盘和/或底座的位置、以及通过处理工具500执行的特定工艺的其它参数的指令。系统控制软件558可以以任何合适的方式进行配置。例如,各种处理工具组件子程序或控制的对象可以被写入以控制根据所公开的方法执行各种处理工具处理所需要的处理工具组件的操作。系统控制软件558可以以任何合适的计算机可读编程语言进行编码。
在一些实施方式中,系统控制软件558可包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,ALD工艺的各阶段可包括用于由系统控制器550执行的一个或多个指令。用于设置ALD工艺阶段的工艺条件的指令例如可以被包括在相应的ALD配方阶段中。在一些实施方式中,可按顺序排列这些ALD配方阶段,使得用于ALD处理阶段的所有指令与该处理阶段同时执行。
在一些实施方式中,可以采用存储在与系统控制器550相关联的大容量存储设备554和/或存储器设备556上的其它计算机软件和/或程序。用于此目的的程序或程序段的示例包括衬底定位程序、工艺气体控制程序、压强控制程序、加热器控制程序以及等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座518上并控制衬底和处理工具500的其它部件之间的间隔。
工艺气体控制程序可包括用于控制气体组成和流率以及任选地用于使气体在沉积之前流入一个或多个处理站以稳定在处理站中的压强的代码。该工艺气体控制程序可以包括用于控制气体组成和在公开范围中的任何范围内的流率的代码。压强控制程序可以包括用于通过例如在处理站的排放系统中的节流阀、调节流进处理站中的气体流量等控制处理站内的压强的代码。压强控制程序可以包括用于保持处理站内在公开范围中的任何范围内的压强的代码。
加热器控制程序可包括用于控制流至用于加热衬底的加热单元的电流的代码。替代地,加热器控制程序可控制传热气体(如氦)朝向衬底上的传送。加热器控制程序可以包括将衬底的温度保持在在公开范围中的任何范围内的指令。
等离子体控制程序可以包括用于设置施加到在一个或多个处理站中的处理电极的RF功率电平和频率的代码,例如使用本文公开的任何RF功率电平。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方式中,可以存在与系统控制器550相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由系统控制器550调整的参数会涉及工艺条件。非限制性实例包括工艺气体组成和流率、温度、压强、等离子体条件(例如,RF功率电平、频率和暴露时间)、等等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器550的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器、压力传感器(例如压力计)、热电偶等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
可以使用任何合适的室来实现所公开的实施方式。示例性的沉积装置包括但不限于来自
Figure BDA0001643554250000191
产品系列、/>
Figure BDA0001643554250000192
产品系列和/或/>
Figure BDA0001643554250000193
产品系列(每一种可从加利福尼亚州Fremont的Lam Research Corp.获取)的装置,或各种其他可商用的处理系统中的任何一种。站中的两个或更多个可以执行相同的功能。类似地,两个或更多个站可以执行不同的功能。每个站可以被设计/配置为根据需要执行特定的功能/方法。
图6是适用于根据本发明的某些实施方式进行薄膜沉积工艺的处理系统的框图。所述系统600包括传送模块603。当正被处理的衬底在不同的反应器模块之间移动时,传送模块603提供干净的加压环境以尽可能减小所述衬底的污染风险。安装在传送模块603上的是两个多站式反应器609和610,每一个能够根据某些实施方式执行原子层沉积(ALD)。反应器609和610可以包括可以依照所公开的实施方式顺序或非顺序地执行操作的多个站611、613、615和617。这些站可以包括加热基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
也可以安装在所述传送模块603上的是一个或更多个单或多站式模块607,其能进行等离子体或化学(非等离子体)预清洗或者所描述的与所公开的方法相关的任何其他工艺。该模块607在某些情况下也可用于多种处理,以例如制备用于沉积工艺的衬底。该模块607还可以被设计/配置为执行各种其它处理,例如蚀刻或抛光。所述系统600还包括一个或更多个晶片源模块601,在处理之前和之后晶片被存储在晶片源模块601。大气转移室619中的大气机械手(未示出)可以首先将晶片从源模块601移动到装载锁621。传送模块603中的晶片传移设备(通常为机械手臂单元)将晶片从装载锁621移动到安装在传送模块603上的模块上以及将晶片在这些模块之间移动。
在多种实施方式中,采用系统控制器629控制沉积过程中的工艺条件。所述控制器629将通常包括一个或更多个存储器器件和一个或更多个处理器。所述处理器可包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
所述控制器629可控制所有沉积设备的活动。所述系统控制器629运行系统控制软件,所述系统控制软件包括用于控制时序、气体混合、室压强、室温度、晶片温度、射频(RF)功率电平、晶片卡盘或基座位置和特定工艺的其他参数的指令集。在一些实施方式中,可以使用存储在与控制器629相关的存储器器件上的其他计算机程序。
通常,将有与控制器629相关联的用户界面。用户界面可包括显示屏,所述装置和/或工艺条件的图形软件显示器和用户输入设备,例如定点设备、键盘、触摸屏、麦克风等。
系统控制逻辑可以任何合适的方式进行配置。一般情况下,所述逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件提供。所述指令可通过“编程”提供。这样的编程被理解为包括任何形式的逻辑,该逻辑包括数字信号处理器、专用集成电路以及具有作为硬件实施的具体算法的其他设备中的硬编码逻辑。编程也被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以以任何合适的计算机可读编程语言编码。
用于控制工艺序列中的含锗还原剂脉冲、氢气流量、和含钨前体脉冲以及其他工艺的计算机程序代码可以任何常规的计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其它写入。由处理器执行编译后的目标代码或脚本以进行程序中识别的任务。还如所指示的,程序代码可以是硬编码的。
控制器参数涉及工艺条件,诸如例如工艺气体组成和流率、温度、压强、冷却气体压强、衬底温度和室壁温度。这些参数以配方的形式提供给用户,并且可利用用户界面输入。用于监控工艺的信号可以通过系统控制器629的模拟和/或数字输入连接来提供。用于控制工艺的信号通过沉积装置620的模拟和数字输出连接件输出。
所述系统软件可以许多不同的方式进行设计或配置。例如,可以写入多个室组件子程序或控制目标以控制根据公开的实施方式执行沉积工艺(以及在某些情况下执行其他工艺)所需要的室组件的操作。用于此目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压强控制代码、和加热器控制代码。
在一些实施方案中,控制器629是系统的一部分,该系统可以是上述实施例的一部分。这样的系统包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流系统等)。这些系统可以与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些系统的操作。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子部分。根据处理要求和/或系统的类型的不同,控制器629可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制工艺气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或连接到特定系统的或与该系统接口的装载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种不同的设置(或程序文件)形式输送到控制器或系统的指令,不同的设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片进行特定处理的操作参数。在一些实施方式中,所述操作参数可以是由工艺工程师定义的用以完成在晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或裸芯片的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是晶片厂(fab)主计算机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些参数和/或设置然后从远程计算机传输到系统。在一些示例中,控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例将是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的在室内的一个或多个集成电路,它们结合以控制室内的工艺。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联的或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
进一步的实现方式
本文描述的装置和工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这样的装置和工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进机(stepper)之类的工具使光致抗蚀剂暴露于可见光或紫外线或x射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下伏的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。可以采用这种处理,例如,以将如上所述的上面沉积有氮化钽、钽和/或铜层的介电层图案化。
实验结果
1.核化延迟。碳化硅在钨上沉积期间的核化延迟问题在图7所示的图中示出。碳化硅沉积在包含暴露的钨层的衬底上。沉积碳化硅的厚度显示为沉积时间的函数。所得到的相关性符合在y轴上具有约7埃的截距的线性函数。截距对应于钨上碳化硅的核化延迟。当碳化硅沉积在氮化硅上时,没有观察到这种延迟。
2.等离子体抑制金属氧化。用不同的等离子体处理含有暴露的钨层的衬底,并在处理之前和之后测量钨的电阻率。电阻率增加表示氧化钨形成,而电阻率下降或电阻率没有变化表明金属氧化物在选定条件下不形成。结果提供在图8中。除了未经处理的对照衬底之外的所有衬底都用远程形成的等离子体处理,其中使用3kW功率水平以13.56MHz频率产生等离子体。第一个样品用在O2和H2的混合物中形成的等离子体处理,其中O2的浓度为0.1体积%(其余为氢)。经处理的钨的电阻率降低,表明该处理抑制金属氧化并且不产生氧化钨。第二个样品用在O2、H2和He的混合物中形成的等离子体处理,其中O2的浓度为0.1体积%,H2的浓度为2体积%(其余为氦气)。经处理的钨的电阻率也降低,表明该处理也抑制金属氧化并且不产生氧化钨。第三个样品是对照未经处理的样品,如预期的那样,其没有提供任何实质性的电阻率变化。第四个样品是用在O2和He的混合物中形成的等离子体处理的对照样品(没有其他气体存在)。正如预期的那样,这个样品由于钨氧化而表现出电阻率的增加。第五个样品是用在纯H2中形成的等离子体处理的对照样品(没有其它气体存在)。正如预期的那样,这个样本显示电阻率下降。
3.氧化硅的沉积。如本文所提供的,氧化硅层使用ALD沉积在衬底上,其中每个ALD循环包括用在O2、H2和He的混合物中形成的等离子体氧化被吸附的含硅前体(双(二甲基氨基)甲基硅烷),其中O2含量低,如本文所提供的。在多个ALD循环之后,测量衬底的折射率,并且其是1.4546。该折射率对应于氧化硅并且指示成功的氧化硅形成。沉积速率为1.06埃/循环。在对照实验中,通过ALD使用相同的含硅前体来沉积氧化硅层,但是使用在O2和He的混合物中形成的等离子体来沉积氧化硅层。在5次ALD循环之后,测量衬底的折射率,并且其是1.4401。沉积速率为1.43埃/循环。在这两个实验中,都在晶片不均匀性内很好地沉积氧化硅。
4.使用本文提供的方法,通过ALD将氧化硅沉积在具有暴露的W层和暴露的介电层的衬底上。然后在氧化硅上形成碳化硅,并且在金属上和电介质上测量碳化硅的厚度。电介质和金属上的厚度基本相同,表明氧化硅层解决了钨上的核化延迟问题。

Claims (20)

1.一种用于处理半导体衬底的方法,所述方法包括:
(a)提供包括暴露的金属层的半导体衬底;
(b)在所述金属层之上形成氧化硅层并使其与所述金属层接触,其中形成所述氧化硅层包括使吸附到所述金属层上的含硅前体与在包含H2和氧源的工艺气体中形成的等离子体反应,其中所述工艺气体的组成被配置用于抑制所述金属层的氧化;以及
(c)在(b)之后使具有暴露的氧化硅层的所述半导体衬底与还原等离子体接触,以将所述氧化硅层下面的残留金属氧化物还原成金属。
2.根据权利要求1所述的方法,其中在所述工艺气体中H2比氧源的体积比至少为4:1。
3.根据权利要求1所述的方法,其中所述氧源是O2,并且其中所述工艺气体中H2比O2的体积比至少为9:1。
4.根据权利要求1所述的方法,其中所述氧源是O2,并且其中所述工艺气体中H2比O2的体积比至少为10:1。
5.根据权利要求1所述的方法,其中所述氧源是O2,并且其中所述工艺气体基本上由浓度为2%-10%的H2、浓度低于1%的O2、以及惰性气体组成。
6.根据权利要求1所述的方法,其中所述氧源是O2,并且其中所述工艺气体基本上由浓度大于95%的H2和浓度小于5%的O2组成。
7.根据权利要求1所述的方法,其中所述氧源选自由O2、O3、CO2和N2O组成的组。
8.根据权利要求1所述的方法,其中所述氧源是O2
9.根据权利要求1所述的方法,其中所述金属选自由W、Cu、Co、Ti和Ta组成的组。
10.根据权利要求1所述的方法,其中所述金属是W。
11.根据权利要求1所述的方法,其中(b)包括:
(i)使所述半导体衬底与所述含硅前体接触并将所述含硅前体吸附在所述金属层上;
(ii)通过清扫和/或抽排容纳所述半导体衬底的处理室来去除未被吸附的含硅前体;
(iii)使所述半导体衬底与在包含H2和所述氧源的所述工艺气体中形成的等离子体接触,并由被吸附的所述含硅前体形成氧化硅;
(iv)在(iii)之后清扫和/或排空处理室;以及
(v)重复步骤(i)-(iv)。
12.根据权利要求1所述的方法,其还包括在(b)之前预清洁所述暴露的金属层以从所述暴露的金属层去除金属氧化物。
13.根据权利要求1所述的方法,其中在(b)中在包含H2和氧源的工艺气体中形成的所述等离子体将所述金属层上的金属氧化物还原成金属。
14.根据权利要求1所述的方法,其中所述氧化硅在(b)中形成为小于10埃的厚度。
15.根据权利要求1所述的方法,其中所述氧化硅在(b)中形成为至5埃的厚度。
16.根据权利要求1所述的方法,其中,在(a)中提供的所述半导体衬底包括多个凹陷特征并且还包括暴露的介电层,并且其中在所述暴露的金属层和所述暴露的介电层两者上形成所述氧化硅。
17.根据权利要求16所述的方法,其还包括在所述氧化硅层上沉积碳化硅层。
18.根据权利要求1所述的方法,其还包括:
将光致抗蚀剂施加到所述半导体衬底上;
使所述光致抗蚀剂暴露于光;
图案化所述光致抗蚀剂并将所述图案转移到所述半导体衬底;以及
选择性地从所述半导体衬底去除所述光致抗蚀剂。
19.一种沉积装置,其包括:
(a)处理室,其具有被配置用于保持半导体衬底的衬底保持器;
(b)与所述处理室耦合的等离子体产生系统;和
(c)包含程序指令的控制器,所述指令用于:
(i)致使通过使吸附到金属层的含硅前体与在包含H2和氧源的工艺气体中形成的等离子体反应,在所述半导体衬底上的所述金属层之上形成氧化硅层并使所述氧化硅层与所述金属层接触,其中所述工艺气体的组成被配置用于抑制所述金属层的氧化;以及
(ii)致使对所形成的具有暴露的氧化硅层的所述半导体衬底用还原等离子体处理,以将所述氧化硅层下面的残留金属氧化物还原。
20.一种用于在半导体衬底上沉积材料的系统,所述系统包括:
(a)被配置用于容纳半导体衬底的处理室;
(b)与所述处理室耦合的等离子体产生系统;和
(c)控制器,其包括用于在具有多个凹陷特征、暴露的金属层和暴露的介电层的半导体衬底上沉积材料的程序指令,所述程序指令用于:
(i)致使在所述暴露的金属层和所述暴露的介电层两者上沉积氧化硅层至小于
Figure FDA0004178044700000031
的厚度;以及
(ii)致使在所述氧化硅层上沉积碳化硅层,使得所沉积的所述碳化硅层的厚度大于所述氧化硅层的厚度。
CN201810391485.XA 2017-04-27 2018-04-27 用于在金属层上沉积氧化硅的方法和装置 Active CN108899266B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/499,318 US10319582B2 (en) 2017-04-27 2017-04-27 Methods and apparatus for depositing silicon oxide on metal layers
US15/499,318 2017-04-27

Publications (2)

Publication Number Publication Date
CN108899266A CN108899266A (zh) 2018-11-27
CN108899266B true CN108899266B (zh) 2023-07-11

Family

ID=63917465

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810391485.XA Active CN108899266B (zh) 2017-04-27 2018-04-27 用于在金属层上沉积氧化硅的方法和装置

Country Status (5)

Country Link
US (1) US10319582B2 (zh)
KR (1) KR102166792B1 (zh)
CN (1) CN108899266B (zh)
SG (1) SG10201803412XA (zh)
TW (1) TW201903192A (zh)

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) * 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11770986B2 (en) 2021-04-22 2023-09-26 International Business Machines Corporation Etch-resistant doped scavenging carbide electrodes
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102640972B1 (ko) * 2021-05-28 2024-02-23 부산대학교 산학협력단 실리콘이 코팅 된 구리 제조방법, 이를 이용한 실리콘이 코팅된 산화방지용 구리 및 이를 이용한 반도체 장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114908326A (zh) * 2022-05-06 2022-08-16 北京北方华创微电子装备有限公司 半导体工艺设备及形成叠层薄膜结构的方法
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1541404A (zh) * 2001-06-11 2004-10-27 ���﹫˾ 高压高温电容结构及其制造方法
CN101466863A (zh) * 2006-04-11 2009-06-24 应用材料股份有限公司 用于形成含钴材料的工艺
WO2016149541A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Pulsed plasma for film deposition

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4985371A (en) * 1988-12-09 1991-01-15 At&T Bell Laboratories Process for making integrated-circuit device metallization
US6777349B2 (en) * 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
KR100598290B1 (ko) * 2004-05-20 2006-07-07 동부일렉트로닉스 주식회사 리소그래피 공정의 감광막 패턴 형성 방법
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101681994A (zh) * 2007-05-31 2010-03-24 Nxp股份有限公司 包括可转变结构的电子器件以及制造该电子器件的方法
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
JP2011054878A (ja) * 2009-09-04 2011-03-17 Panasonic Corp 半導体装置及びその製造方法
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9613826B2 (en) * 2015-07-29 2017-04-04 United Microelectronics Corp. Semiconductor process for treating metal gate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1541404A (zh) * 2001-06-11 2004-10-27 ���﹫˾ 高压高温电容结构及其制造方法
CN101466863A (zh) * 2006-04-11 2009-06-24 应用材料股份有限公司 用于形成含钴材料的工艺
WO2016149541A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Pulsed plasma for film deposition

Also Published As

Publication number Publication date
SG10201803412XA (en) 2018-11-29
KR102166792B1 (ko) 2020-10-19
TW201903192A (zh) 2019-01-16
US10319582B2 (en) 2019-06-11
CN108899266A (zh) 2018-11-27
KR20180120607A (ko) 2018-11-06
US20180315597A1 (en) 2018-11-01

Similar Documents

Publication Publication Date Title
CN108899266B (zh) 用于在金属层上沉积氧化硅的方法和装置
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
TWI721022B (zh) 低介電常數含鋁蝕刻終止膜的形成方法
CN107393809A (zh) 使用pecvd沉积保形和低湿蚀刻速率的封装层的方法
CN109791914B (zh) 用于互连结构的复合介电界面层
KR20150128615A (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
JP2018152560A (ja) 触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
CN107424955B (zh) 用于钴的锰阻挡层和粘附层
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
CN109216205B (zh) 氮化钨阻挡层沉积
CN115917714A (zh) 通过纯化学手段实现非晶碳硬掩模膜的沉积速率增强

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant