TW201903192A - 用以沉積矽氧化物在金屬層上的方法及設備 - Google Patents

用以沉積矽氧化物在金屬層上的方法及設備 Download PDF

Info

Publication number
TW201903192A
TW201903192A TW107113681A TW107113681A TW201903192A TW 201903192 A TW201903192 A TW 201903192A TW 107113681 A TW107113681 A TW 107113681A TW 107113681 A TW107113681 A TW 107113681A TW 201903192 A TW201903192 A TW 201903192A
Authority
TW
Taiwan
Prior art keywords
semiconductor substrate
layer
processing
metal
tantalum oxide
Prior art date
Application number
TW107113681A
Other languages
English (en)
Inventor
巴德里 N 凡拉德拉彥
桂喆
龔伯
安組 約翰 瑪克羅
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201903192A publication Critical patent/TW201903192A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

將薄矽氧化物層沉積在具有曝露金屬層(例如W、Cu、Ti、Co、Ta)的基板上,而不引起金屬的實質氧化。此方法包含:(a) 使具有曝露金屬層的基板與含矽前驅物接觸,並且使前驅物吸附於基板上;(b) 從處理腔室去除未吸附的前驅物;以及(c) 使吸附的前驅物與在包含氧來源(例如O2 、CO2 、N2 O、O3 )以及H2 之處理氣體中所形成的電漿接觸,以由含矽前驅物形成矽氧化物,並且同時抑制金屬氧化。可重複這些步驟,直到形成具有期望厚度的矽氧化物膜為止。在若干實施例中,矽氧化物膜用以改善後續所沉積之矽碳化物的成核。

Description

用以沉積矽氧化物在金屬層上的方法及設備
本發明係關於半導體基板處理的方法。尤其,本發明係關於用以在半導體裝置加工期間於金屬層上方沉積矽氧化物層的方法。
半導體裝置的加工通常包括在金屬層上方之介電層的沉積。此種介電層的範例包含用於記憶體堆疊的包覆層、各種擴散阻障層、以及蝕刻中止層。矽碳化物(SiC)為用於此種應用的其中一較佳介電材料。SiC類的薄膜包含氧摻雜矽碳化物(亦稱為碳氧化矽)、氮摻雜矽碳化物(亦稱為碳氮化矽)、氧氮摻雜矽碳化物(亦稱為碳氮氧化矽)、以及無摻雜矽碳化物。矽碳化物一般係藉由化學氣相沉積(CVD,chemical vapor deposition)法加以沉積,例如電漿增強化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)法。
矽碳化物通常必須被沉積在具有曝露金屬層以及曝露介電質的基板上,於此處,基板的表面包含複數下凹特徵部。已確定在相同基板上,相對於介電質上方的沉積,金屬上方之矽碳化物的沉積通常係伴隨成核延遲發生,而導致所沉積之矽碳化物層的不均勻厚度,或導致金屬的不足矽碳化物覆蓋(或無覆蓋)。當矽碳化物層的目標厚度係相對小(例如2 nm以下)時,此種影響尤其有害。在此情況下,金屬上方的成核延遲可能會導致金屬上方之矽碳化物覆蓋的不足,並因此導致對矽碳化物層的功能性造成影響。
在此藉由在沉積矽碳化物層之前,將薄矽氧化物層沉積到基板上以解決此種問題。沉積在金屬與介電質兩者上方的矽氧化物減少或消除成核延遲,並且允許各種厚度之矽碳化物層的保形沉積。
在一實施樣態中,提供一種處理半導體基板的方法,其中該方法包含下列步驟:(a) 提供包含曝露金屬層以及曝露介電層的半導體基板;(b) 將矽氧化物層沉積到曝露金屬層與曝露介電層兩者上;以及(c) 將矽碳化物層沉積到矽氧化物層上。在若干實施例中,在(a)中所提供的基板具有複數下凹特徵部,以及藉由原子層沉積(ALD,atomic layer deposition)來保形地沉積矽氧化物層。該方法可用於改善在各種金屬(包含W、Cu、Co、Ti、以及Ta)上的矽碳化物覆蓋。在一範例中,該方法係在具有曝露W層以及複數下凹特徵部的基板上實施。在若干實施例中,矽氧化物層係相對的薄,例如小於約50 Å,如介於約5-10 Å之間。
然而,一般而言,可藉由任何適當的方法來沉積矽氧化物,極佳係將矽氧化物形成在金屬上方,並且同時抑制金屬氧化。在此所提供的方法允許矽氧化物的沉積,而不引起其上沉積有矽氧化物之金屬層的氧化。此為所提供之方法的一顯著優點,因為金屬的氧化會導致金屬線路的電阻增加,並因此導致不期望的電阻-電容(RC,resistance-capacitance)延遲增加,此係有害的。
依照本發明之另一實施樣態,提供一種處理半導體基板的方法,其中該方法包含下列步驟:(a) 提供包含曝露金屬層(例如W、Cu、Co、Ti、Ta)的半導體基板;以及(b) 使矽氧化物層形成在金屬層上方並且與金屬層接觸,其中形成矽氧化物層的步驟包含使吸附於金屬層的含矽前驅物與在包含H2 以及氧來源(例如O2 、O3 、CO2 、N2 O)之處理氣體中所形成的電漿進行反應,其中處理氣體的組成係用於抑制金屬層的氧化。在若干實施例中,所形成的矽氧化物層具有小於約10 Å的厚度,例如約5 Å。如在本發明之先前實施樣態中所提供,此方法可用於在矽碳化物沉積之前沉積矽氧化物,或者獨立地,用於需要將矽氧化物沉積在金屬上方的任何其他處理順序。
在若干實施例中,處理氣體的組成係藉由使用相對高之H2 與氧來源的比例而用於抑制金屬的氧化。在若干實施例中,處理氣體中之H2 與氧來源的體積比例為至少約4:1。在若干實施例中,氧來源為O2 ,以及處理氣體中之H2 與O2 的體積比例為至少約4:1,例如至少約9:1,例如至少約10:1,以及在該氣體實質上由H2 與O2 所組成的實施例中,該比例為至少約96:1,例如至少約100:1。在一實施例中,處理氣體實質上由H2 、O2 以及惰性氣體(例如He)所組成,於此處,H2 的濃度係介於以體積計約2-10%之間,以及O2 的濃度為以體積計小於約1%。例如,在此實施例中,H2 與O2 之體積比例應較佳為至少約4:1。在另一實施例中,處理氣體實質上由H2 與O2 所組成,於此處,H2 的濃度為以體積計大於約95%,以及O2 的濃度為以體積計小於約5% (例如以體積計0.1-4.5%)。
在若干實施例中,形成矽氧化物層的步驟包含:(i) 使半導體基板與含矽前驅物接觸,並且使含矽前驅物吸附到金屬層上;(ii) 藉由清除及/或排空容置半導體基板的處理腔室,以去除未吸附之含矽前驅物;(iii) 使半導體基板與在包含H2 以及氧來源之處理氣體中所形成的電漿接觸,並且由吸附之含矽前驅物形成矽氧化物;(iv) 在(iii)之後,清除及/或排空處理腔室;以及(v) 重複步驟(i)-(iv),直到沉積出具有期望厚度的矽氧化物膜為止。在若干實施例中,該方法更包含在形成矽氧化物之前,對曝露金屬進行前清理,以去除可能存在於金屬表面上的任何金屬氧化物。又,在若干實施例中,該方法更可包含使在已形成矽氧化物之後具有曝露矽氧化物層的半導體基板與還原電漿(例如氫電漿)接觸,以使位於矽氧化物層下方的殘留金屬氧化物還原成金屬。
在若干實施例中,矽氧化物層係形成在具有曝露金屬表面以及曝露介電表面兩者的基板上,且沉積係發生在兩種表面上。在一製程流程中,矽碳化物層接著被沉積到矽氧化物層上。
所提供的所有方法皆可與光微影處理方法整合在一起,並且可更包含:將光阻施加至半導體基板;使光阻曝光;將光阻圖案化,並且將圖案轉印至半導體基板;以及從半導體基板選擇性地去除光阻。
依照另一實施樣態,提供一種用於在具有曝露金屬層之基板上形成矽氧化物膜的設備。在一實施例中,該設備包含處理腔室、用以產生電漿的系統以及控制器,該處理腔室具有用以固持半導體基板的托架。該控制器包含用以執行在此所提供之任何方法的程式指令。在若干實施例中,該控制器被程式化以執行下列步驟:使矽氧化物層形成在曝露金屬層上方並且與曝露金屬層接觸,其中形成矽氧化物層的步驟包含使吸附於金屬層的含矽前驅物與在包含H2 以及氧來源(例如O2 、O3 、CO2 、N2 O)之處理氣體中所形成的電漿進行反應,其中處理氣體的組成係用於抑制金屬層的氧化。
依照另一實施樣態,提供一種用於在具有曝露金屬層及曝露介電層之基板上沉積矽碳化物的設備。該設備包含用於容置基板的一或多個處理腔室、以及具有程式指令的控制器,該程式指令用以:(a) 將矽氧化物層沉積到曝露金屬層以及曝露介電層兩者上;以及(b) 將矽碳化物層沉積到矽氧化物層上。在若干實施例中,該設備係建構成在一工具的相同腔室中沉積矽氧化物與矽碳化物。在其他實施例中,可在不同腔室中執行沉積。
依照另一實施樣態,在此提供一種系統,其包含在此所提供的任何設備以及一步進機(stepper)。
依照另一實施樣態,提供一種非暫態電腦機器可讀媒體。其包含用於沉積設備之控制的程式指令,並且可包含用以執行在此所提供之任何方法的碼。在若干實施例中,提供用以執行下列步驟的碼:(a) 將矽氧化物層沉積到位於基板上的曝露金屬層以及曝露介電層兩者上;以及(b) 將矽碳化物層沉積到矽氧化物層上。在若干實施例中,提供用以執行下列步驟的碼:使矽氧化物層形成在曝露金屬層上方並且與曝露金屬層接觸,其中形成矽氧化物層的步驟包含使吸附於金屬層的含矽前驅物與在包含H2 以及氧來源(例如O2 、O3 、CO2 、N2 O)之處理氣體中所形成的電漿進行反應,其中處理氣體的組成係用於抑制金屬層的氧化。
依照另一實施樣態,提供一種半導體裝置,其中該半導體裝置包含:(a) 金屬層以及介電層;(b) 矽氧化物層,與金屬層及介電層兩者接觸;以及(c) 矽碳化物層,在與接觸金屬層及介電層之表面相反的表面,接觸矽氧化物層。在若干實施例中,矽氧化物層的厚度係小於約50 Å。
在下文中,將參考相關圖式來更詳細地說明本發明的這些與其他特徵。
在以下詳細說明中,為了提供所揭露實施例的徹底瞭解而提出許多具體實作方式。然而,本領域通常技術者將明白,在不具有這些具體細節的情況下或者在藉由使用替代元件或製程的情況下,可實現所揭露實施例。在其他情形下,已不詳述為人所熟知的製程、程序、以及構件,如此俾能不對所揭露實施例的實施樣態造成不必要的混淆。
在本說明中,「半導體晶圓」、「半導體基板」、「晶圓」、「基板」、「晶圓基板」以及「部分加工的積體電路」的用語係可互換地使用。本領域通常技術者將瞭解,「部分加工的積體電路」的用語可指處於在其上進行積體電路加工之許多階段的任一者期間的矽晶圓。以下詳細說明係描述在晶圓上之矽氧化物層的沉積。然而,所揭露實施例並非如此受到限制。該工件可具有各種形狀、尺寸、以及材料。除了半導體晶圓以外,可利用所揭露實施例的其他工件包含各種物件,例如印刷電路板以及其類似物。在此所使用的「半導體晶圓」或「半導體基板」用語係指在其本體內之任何地方具有半導體材料的基板,且吾人可瞭解半導體材料不必被曝露。在許多實施例中,半導體基板包含形成在半導體材料上方的一或多個介電或導電層。半導體裝置工業中所使用的晶圓或基板一般具有200 mm、或300 mm、或450 mm的直徑。除非另外說明,否則在此所述之處理細節(例如流率、功率等級等等)係與處理300 mm直徑之基板有關、或與處置用以處理300 mm直徑之基板的腔室有關,並且針對其他尺寸的基板或腔室,可視情況按比例調整。
在此所提供的矽氧化物膜含有Si與O。雖然其他元素(例如C與N)可存在於該膜中,但在許多實施例中,該膜實質上係由Si與O及可選的H所組成,並且含有不大於10原子%(在若干實施例中,不大於5原子%)的其他元素。
在此所提供的矽碳化物膜包含摻雜與無摻雜矽碳化物兩者,例如具有變化計量比的SiC、SiCN、SiCNO、以及SiCO(該等化學式係表示元素組成,但計量比可變化)。氫可選地存在於矽碳化物膜(例如SiC、SiCN、SiCNO、以及SiCO膜)之任一者中。
如在此所使用之「原子層沉積」(ALD)的用語係包含電漿輔助原子層沉積,並且係指主要依賴基板表面上(而非處理腔室主體中)之反應的沉積方法。
依照一實施樣態,提供一種用以處理半導體基板的方法,其中該方法允許將矽碳化物膜保形且均勻地沉積在具有曝露金屬層及曝露介電層的基板上方。例如,曝露介電層可包含矽氮化物、矽氧化物、低k介電質、超低k介電質、有機及/或多孔介電質、金屬氧化物等等。曝露金屬層可包含各種金屬,例如W、Ti、Ta、Co、以及Cu。在若干實施例中,基板亦可包含曝露半導體、或不同類型的材料,例如矽(包含多晶矽)、鍺、GeSi等等。一般而言,基板的曝露表面可為平坦或者可包含下凹特徵部。在此所提供的方法係特別有利於具有下凹特徵部的基板,因為即使在必須沉積極薄的層時,該等方法仍允許矽碳化物的保形沉積。所提供的方法可用於沉積具有各種厚度(例如20-400 Å)的矽碳化物膜,並且係特別有利於沉積薄矽碳化物膜(例如20-100 Å厚的膜)。
圖1A-1C提供依照在此所提供之方法而經歷處理之基板的一範例。顯示在不同處理階段之基板的概略橫剖面圖。圖2提供藉由圖1A-1C之結構所繪示之處理方法的製程流程圖。參考圖2,該製程起始於201,於其中,提供具有曝露介電層以及曝露金屬層的基板。圖1A例示一半導體基板,例如部分加工的磁阻性隨機存取記憶體(MRAM,magnetoresistive random access memory)裝置,其具有曝露金屬層以及曝露介電層。所例示之基板具有位在兩個材料堆疊之間的下凹特徵部,於此處,該等材料堆疊可包含磁性材料、介電材料、導電材料等等。該等堆疊可包含形成在曝露層101上的複數層(層103、105a-c、以及107)。在一實施例中,半導體基板包含曝露介電層107(例如矽氮化物層)、以及曝露金屬層105b(例如鎢層)。該等堆疊可位在多晶矽層101上。
然後,在操作203中,該製程接著將薄矽氧化物層沉積在金屬層與介電層兩者的上方。在其中一較佳實施例中,係藉由ALD來進行矽氧化物的保形沉積,並且包含使含矽前驅物吸附到基板上、以及使其與含氧反應物進行反應以形成矽氧化物。更佳地,藉由使用如在此所提供之用以抑制金屬氧化之方法的ALD來沉積矽氧化物。在若干實施例中,將矽氧化物沉積至介於約5-30 Å之間的厚度,例如至約5 Å的厚度。所產生的結構係顯示於圖1B中,於此處,矽氧化物層109係保形地覆蓋於基板的表面,包含介電層107、金屬層105b、以及基板之所有其他先前曝露層。
接著,在操作205中,將矽碳化物層沉積在矽氧化物層上方。參考圖1C,顯示矽碳化物層111沉積在矽氧化物層109上方並且與其接觸。若干實施例中的矽碳化物係被沉積至介於約50 Å與約500 Å之間的厚度。例如,沉積在MRAM堆疊上方的包覆層可具有介於約150 Å與約300 Å之間的厚度。例如可藉由PECVD或ALD來沉積矽碳化物。在若干實施例中,矽碳化物係使用描述於下列美國專利案中的方法來進行沉積:美國專利申請案第15/279,310號,申請於2016年9月28日,van Schravendijk等人為發明人,發明名稱為「Methods of Encapsulation」;或美國專利第9,234,276號,公告於2016年1月12日,Varadarajan等人,發明名稱為「Method to Obtain SiC Class of Films of Desired Composition and Film Properties」。上述美國專利案之整體內容係為了所有目的而藉由參考文獻方式合併於此。在一例示性的實施例中,沉積矽碳化物的方法包含提供基板並且使第一有機矽前驅物以及不同的第二有機矽前驅物一起流到基板上。例如,該等前驅物可包含不同比例的矽-碳鍵。該方法更包含從來源氣體提供處於實質上低能態的一或多個自由基,於此處,該等自由基係與第一及第二有機矽前驅物反應,以在基板上形成矽碳化物。可藉由將來源氣體(例如氫)曝露至遠程電漿而形成該等自由基。
在本發明的一獨立實施樣態中,提供一種用以將矽氧化物沉積到金屬層上並且同時抑制金屬氧化的方法。此種方法可用於圖2所例示的製程中,或者獨立地用於任何應用中,以沉積矽氧化物,於此處,期望在金屬上方形成矽氧化物並且幾乎不伴隨金屬氧化的發生。該製程包含:提供包含曝露金屬層的半導體基板;以及使矽氧化物層形成在金屬層上方並且與金屬層接觸,其中,形成矽氧化物層包含使吸附於金屬層的含矽前驅物與在包含H2 及氧來源之處理氣體中所形成的電漿進行反應,其中,處理氣體的組成係用於抑制金屬層的氧化。舉例而言,可選擇處理氣體中之H2 與氧來源的比例,如此俾能使金屬的氧化降至最低或將其消除,且同時仍可使含矽前驅物轉化成矽氧化物。在若干實施例中,氧來源為O2 ,其係以介於約0.01-5%之間(例如,介於約0.01-4.5%之間)的濃度被提供在處理氣體中。此外,該方法可選地包含前處理操作與後處理操作,該等操作係用於使可能存在的任何金屬氧化物還原成金屬。
可將各種含矽前驅物以及氧來源用於矽氧化物沉積。合適之含矽前驅物的範例包含而不限於胺基矽烷(包含烷基胺基矽烷)、以及鹵素取代矽烷(例如氯矽烷)等等。胺基矽烷前驅物的範例包含三(二甲胺基)矽烷(TDMAS)、雙(二乙胺基)矽烷(BDEAS)、雙(第三丁胺基)矽烷(BTBAS)。氧來源的範例包含O2 、O3 、CO2 、以及N2 O。在一範例中,氧來源為O2 ,以及含矽前驅物為胺基矽烷,例如雙(二甲胺基)甲矽烷。
關於此種矽氧化物形成製程之一範例的製程流程圖係顯示於圖3中。首先,提供基板,於此處,基板包含曝露金屬層(例如W、Ti、Ta、Co、Cu等等)。在若干實施例中,基板可更包含(例如圖1A所示之基板中的)介電層或另一材料種類的層。在其他實施例中,金屬層係基板上唯一曝露的材料層。基板可具有平坦的表面或者可包含複數下凹特徵部。可在寬溫度範圍下,例如在介於約50-500℃之間(如介於約50-400℃之間)的溫度下,執行該製程。
在可選的步驟301中,對基板進行前清理,以從金屬的表面去除金屬氧化物。例如,可藉由以還原電漿來處理基板,以在鎢表面上使鎢氧化物還原成鎢。例如,可以在包含氫來源(例如H2 )之處理氣體中所形成的電漿來處理基板。在若干實施例中,以在實質上由H2 組成(或實質上由氫與惰性氣體組成)之處理氣體中所形成的電漿來處理基板。在此種處理期間,金屬表面上的金屬氧化物係與電漿反應並且被去除(例如被還原成金屬)。可藉由直接電漿(在容置基板之同一隔室中所形成的電漿)或藉由遠程電漿(在不同隔室中所形成並且被饋送到容置基板之隔室中的電漿)來執行此種電漿處理。在一範例中,藉由以在實質上由H2 組成之處理氣體中所形成的直接電漿來處理基板,而去除位於鎢表面上的鎢氧化物。
然後,開始矽氧化物沉積。將基板放置在處理腔室中(例如在ALD處理腔室中),該處理腔室用於連續接收具有氣態(例如氣化)形式的試劑。在操作303中,將含矽前驅物提供至處理腔室並且使其吸附到基板的表面(包含曝露金屬層的表面)上。在若干實施例中,使含矽前驅物與惰性氣體(例如氦)一起流入處理腔室。在一範例中,使胺基矽烷與氦以混合物的方式流入處理腔室。在若干實施例中,此種混合物的流率係介於約100-1,000 sccm之間,其包括介於約5-100 sccm之間的含矽前驅物的流率在內。選擇此步驟的溫度與壓力,如此俾能使前驅物吸附在基板的表面上。在若干實施例中,壓力係介於約1-7 torr之間。接著,在含矽前驅物吸附之後,於操作305中,清除及/或排空處理腔室,以從處理腔室去除未吸附的含矽前驅物,並同時在基板上留下一層吸附之前驅物。舉例而言,可將例如氦、氬、或氮的惰性氣體導入處理腔室中,以進行清除。在下一個步驟307中,使吸附之含矽前驅物與在包含H2 及氧來源之處理氣體中所形成的電漿接觸,以形成矽氧化物,並且同時抑制其上吸附有含矽前驅物之金屬的氧化。在若干實施例中,藉由選擇足夠高之H2 與氧來源的比例以抑制氧化。例如,在若干實施例中,H2 與氧來源的比例為以體積計至少約4:1。在一實施例中,氧來源為O2 ,以及H2 與O2 的比例為至少約9:1。例如,處理氣體可實質上由H2 、O2 以及惰性氣體所組成,於此處,H2 的濃度為以體積計約2-10%,以及O2 的濃度為以體積計小於約1%。在一範例中,處理氣體係由O2 (以5-20 sccm的流率流動)、H2 (以約200 sccm的流率流動)、以及He (以約9,800 sccm的流率流動)所組成。在另一範例中,處理氣體實質上係由H2 (具有大於以體積計95%的濃度)以及O2 (具有小於以體積計約5%的濃度)所組成。在若干實施例中,電漿係直接形成在容置基板的處理腔室或處理腔室隔室中。在其他實施例中,電漿係遠程地形成在位於隔室內的處理氣體中,該隔室係與容置基板的隔室不同,之後電漿被輸送到容置基板的隔室。在若干實施例中,使用在介於約2.45-13.56 MHz間之範圍內的頻率,並且使用在介於約2-6 kW間之範圍內的功率,以形成電漿。在一範例中,使用13.56 MHz的頻率以及3 kW的功率,在包含H2 、O2 、以及可選之惰性氣體的處理氣體中形成電漿。在若干實施例中,此反應步驟所使用的壓力係小於約2 Torr,例如1.5 Torr以下。此種低壓力係與較高沉積速率有關聯。
接著,在操作309中,清除及/或排空處理腔室,以去除處理氣體物種,並且在操作311中判定是否需要進一步沉積矽氧化物。在若干實施例中,包含操作303-309的單一循環沉積約0.7-1.0 Å的過多材料。若判定需要沉積更多矽氧化物的話,則視需要而重複該循環多次,以沉積矽氧化物至期望厚度。在若干實施例中,該循環被執行1-100次,例如1-10次。所沉積的層在若干實施例中係非常的薄,例如小於約10 Å厚,如為約5 Å厚。例如,約5-10 Å厚的矽氧化物層係較佳用來防止矽碳化物層的成核延遲。
接著,在操作313中,可執行一可選的步驟,以去除可能位於矽氧化物層下方的任何金屬氧化物。雖然沉積循環係被建構成用於抑制金屬氧化,但在若干情況下,金屬氧化物可能會非故意地形成,並且可能需要藉由以氫電漿(在實質上由氫組成並且不具有添加之氧化性物種的氣體中所形成的電漿)來處理基板而被加以去除(還原成金屬)。以氫電漿的處理可穿透薄曝露矽氧化物層,並且可使位於矽氧化物下方的金屬氧化物還原而不影響矽氧化物層的品質。在一例示性的範例中,使用遠程電漿來執行電漿處理,該遠程電漿係在約1.5 Torr的壓力下使用3 kW之功率而形成。
在若干實施例中,較佳係在矽氧化物沉積期間避免金屬氮化,並且執行沉積製程,如此俾能防止此種氮化。例如,在若干實施例中,至少在包含電漿處理的步驟期間,以及在若干實施例中,在整個製程期間,含氮反應物並不存在而與基板接觸。非故意的金屬氮化可能會增加導電線路的電阻並且不利地影響RC延遲。
所提供的方法可用於記憶體/邏輯裝置的加工以及用於各種其他應用。該等方法允許將矽碳化物均勻且保形地沉積在具有曝露金屬與介電質的基板上方,以及單獨地、或與此方法配合,將矽氧化物沉積在金屬上方而不引起金屬氧化。確認製程條件,於此處,不使金屬氧化(或者,若氧化的話,則使其還原回到處於零氧化態的金屬),而使含矽前驅物氧化以形成矽氧化物。 設備
在此所揭露之實施例的另一實施樣態為一設備,其用以實現在此所述的方法。一合適之設備包含用以實現製程操作的硬體、以及具有用以依照所揭露實施例來控制製程操作之指令的系統控制器。在若干實施例中,該設備包含具有用以執行圖2或圖3中所提供之方法步驟之程式指令的系統控制器。包含用以依照所揭露實施例來控制製程操作之指令的機器可讀媒體,可與系統控制器結合。用以沉積矽氧化物的設備可包含遠程或直接電漿源。在若干實施例中,遠程電漿源係較佳的,因為遠程電漿可使直接電漿在其他方面所引起的嚴苛反應條件降至最低。
用以實現沉積製程操作的硬體可包含原子層沉積(ALD)處理腔室。在若干實施例中,矽氧化物形成的所有操作皆係在單一處理腔室中執行。在其他實施例中,基板可從腔室被傳送到腔室,以執行該方法的不同步驟。例如,基板可在前清理腔室中進行前清理,之後再傳送到ALD腔室進行矽氧化物沉積。包含用以依照所揭露實施例來控制製程操作之指令的機器可讀媒體,可與系統控制器結合。
在若干實施例中,沉積係在電漿增強原子層沉積(PEALD,plasma enhanced atomic layer deposition)反應器中執行,其為Vector Excel沉積模組(可自位在CA之Fremont的Lam Research Corp.購得)的一部分。在若干實施例中,矽碳化物沉積係在與矽氧化物沉積相同的處理腔室中執行。在若干實施例中,這些沉積可在單一處理腔室之個別的站中執行。
圖4例示一遠程電漿設備的示意圖,其適合依照某些實施例來沉積矽氧化物。設備400包含反應腔室410、遠程電漿源460、矽前驅物氣體輸送源450、以及噴淋頭組件420。在反應腔室410內部,基板430係放在載台或支座435上。在若干實施例中,支座435可裝配有加熱/冷卻元件。控制器440可與設備400的構件連接,以控制設備400的操作。例如,控制器440可包含用以控制設備400之操作之製程條件(例如溫度製程條件及/或壓力製程條件)的指令。
在操作期間,經由與反應腔室410耦合的一或多個氣體入口,將氣體或氣體混合物導入反應腔室410中。前驅物氣體輸送源450可包含氣體入口455,其與反應腔室410耦合,以輸送含矽前驅物氣體。第二氣體入口465可經由噴淋頭組件420而與反應腔室410耦合,並且連接至遠程電漿源460。第二氣體入口465可連接至噴淋頭組件420,以輸送在具有氧來源與H2 之處理氣體中之電漿中所形成的自由基。第二氣體入口465可連接至容器470,其對自由基物種提供處理氣體。在包含遠程電漿配置的實施例中,前驅物以及在遠程電漿源460中所產生之自由基物種的輸送管線係分開的。因此,前驅物與自由基物種在到達基板430之前實質上不互相作用。
一或多個自由基物種可在遠程電漿源460中產生,並且經由第二氣體入口465進入反應腔室410。在遠程電漿源460中可使用任何種類的電漿源,以產生自由基物種。此包含但不限於電容耦合式電漿、微波電漿、DC電漿、感應耦合式電漿、以及雷射產生電漿。電容耦合式電漿的一範例可為射頻(RF,radio-frequency)電漿。高頻電漿可建構成在13.56 MHz下或更高者下操作。此種遠程電漿源460的一範例可為GAMMA® ,其係由位在California之Fremont的Lam Research Corporation所製造。此種RF遠程電漿源460的另一範例可為Astron® ,其係由位在Massachusetts之Wilmington的MKS Instruments所製造,其可在440 kHz下操作並且可被設置成螺接至同時處理一或多個基板之較大設備上的子單元。在若干實施例中,微波電漿可被使用作為遠程電漿源460,例如Astex® ,其同樣係由MKS Instruments所製造。微波電漿可建構成在2.45 GHz的頻率下操作。
遠程電漿源460可包含電漿圓罩或其他外形,其形成用以輸送來自容器470之來源氣體的容積。遠程電漿源的範例係描述於美國專利第8,084,339號與美國專利第8,217,513號中,其整體內容係為了所有目的而藉由參考文獻方式合併於此。在若干實施例中,遠程電漿源460可包含與容器470連接並具有複數孔洞的入口475,這些孔洞用以將來源氣體分佈到遠程電漿源460的內部容積中。
當來源氣體進入遠程電漿源460時,可使用射頻(RF)線圈(未圖示)來產生電漿,該射頻線圈可經由匹配網路而連接至RF源480。電漿可產生自由基物種,這些自由基物種係從第二氣體入口465流過噴淋頭組件420中的複數孔洞,以使這些自由基物種分佈到反應腔室410中。
控制器440可包含用以依照本發明來控制關於設備400之製程條件與操作的指令。控制器440一般可包含一或多個記憶體裝置以及一或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接部、步進馬達控制器電路板等等。在處理器上執行用以實施適當控制操作的指令。這些指令可儲存在與控制器440相關聯的記憶體裝置上,或者其可經由網路加以提供。包含用以依照本發明來控制製程操作之指令的機器可讀媒體可與控制器440通信地耦合。
在若干實施例中,於此所提供的基板係在多站工具中被處理。圖5顯示具有入站負載室502與出站負載室504之多站處理工具500之一實施例的示意圖,這些負載室的其中一者或兩者可包含遠程電漿源。在大氣壓力下的機器人506用以將晶圓從透過箱508所承載的晶舟經由大氣通口510而移動到入站負載室502內。藉由機器人506將晶圓放置在位於入站負載室502中的支座512上,將大氣通口510關閉,並且對該負載室進行抽氣。此處的入站負載室502包含遠程電漿源,晶圓可在被導入處理腔室514之前於該負載室中曝露於遠程電漿處理。又,晶圓亦可在入站負載室502中被加熱,以例如去除水分以及吸附之氣體。接著,開啟通往處理腔室514的腔室運送通口516,而另一個機器人(未圖示)則將晶圓放置到反應器中並使其位於反應器所示之第一站的支座上以進行處理。
所描繪的處理腔室514包含四個處理站,在圖5所示之實施例中編號為1到4。每一站皆具有加熱支座(顯示於站1的518)、以及氣體管線入口。吾人將明白,在若干實施例中,每一處理站可具有不同或多種目的。雖然所描繪的處理腔室514係包含四個站,但吾人將瞭解依照本揭露內容的處理腔室可具有任何適當數量的站。例如,在若干實施例中,處理腔室可具有五個以上的站,而在其他實施例中,處理腔室可具有三個以下的站。
圖5亦描繪了用以在處理腔室514內傳送晶圓的晶圓搬運系統590之一實施例。在若干實施例中,晶圓搬運系統590可在各種處理站之間及/或在處理站與負載室之間傳送晶圓。吾人將明白任何適當的晶圓搬運系統可被使用。非限制性範例包含晶圓旋轉料架以及晶圓搬運機器人。圖5亦描繪了系統控制器550的一實施例,其用以控制處理工具500的製程條件與硬體狀態。系統控制器550可包含一或多個記憶體裝置556、一或多個大量儲存裝置554、以及一或多個處理器552。處理器552可包含CPU或電腦、類比及/或數位輸入/輸出連接部、步進馬達控制器電路板等等。
在若干實施例中,系統控制器550控制處理工具500的所有作業。系統控制器550執行系統控制軟體558,該系統控制軟體係被儲存在大量儲存裝置554中、被載入到記憶體裝置556中、以及在處理器552上被執行。系統控制軟體558可包含用以控制時序、氣體之混合、腔室及/或站壓力、腔室及/或站溫度、清除條件與時序、晶圓溫度、RF功率等級、RF頻率、基板、支座、夾具及/或基座位置、以及由處理工具500所執行之特定製程之其他參數的指令。可以任何適當的方式來建構系統控制軟體558。例如,可編寫各種處理工具構件子程序(subroutines)或控制物件(control objects),以依照所揭露方法來控制實現各種處理工具製程所需之處理工具構件的操作。系統控制軟體558可以任何適當的電腦可讀程式化語言加以編碼。
在若干實施例中,系統控制軟體558可包含用以控制上述各種參數的輸入/輸出控制(IOC,input/output control)定序指令。例如,ALD處理的每一階段可包含藉由系統控制器550所執行的一或多個指令。用以設定ALD處理階段之製程條件的指令可被包含在對應的ALD配方階段中。在若干實施例中,可相繼安排ALD配方階段,俾能使ALD處理階段的所有指令與該處理階段並行地被執行。
在若干實施例中,可使用儲存在與系統控制器550相關聯之大量儲存裝置554及/或記憶體裝置556上的其他電腦軟體及/或程式。用於此目的之程式或程式區段的範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包含用以將基板裝載到支座518上以及控制基板與處理工具500之其他部件間之間距之處理工具構件的程式碼。
處理氣體控制程式可包含用以控制氣體組成與流率以及可選擇地用以在沉積之前使氣體流入到一或多個處理站內以穩定化處理站內之壓力的碼。處理氣體控制程式可包含用以將氣體組成與流率控制在任一所揭露範圍內的碼。壓力控制程式可包含用以藉由調節例如處理站之排放系統中的節流閥、進入到處理站內的氣體流動等等而控制處理站內之壓力的碼。壓力控制程式可包含用以將處理站內之壓力維持在任一所揭露壓力範圍內的碼。
加熱器控制程式可包含用以控制通往用來加熱基板之加熱單元之電流的碼。或者,加熱器控制程式可控制通往基板之熱傳氣體(例如氦)的輸送。加熱器控制程式可包含將基板之溫度維持在任一所揭露範圍內的指令。
電漿控制程式可包含用以例如使用在此所揭露之任一RF功率等級來設定施加到一或多個處理站中之處理電極之RF功率等級與頻率的碼。電漿控制程式亦可包含用以控制每一電漿曝露之持續時間的碼。
在若干實施例中,可存在有與系統控制器550相關聯的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件之圖形軟體顯示、以及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等等)。
在若干實施例中,藉由系統控制器550所調整的參數可與製程條件有關。非限制性範例包含處理氣體組成與流率、溫度、壓力、電漿條件(例如RF功率等級、頻率、以及曝露時間)等等。可以配方的形式將這些參數提供給使用者,可利用使用者介面來輸入這些參數。
可藉由系統控制器550之類比及/或數位輸入連接部,從各種處理工具感測器提供用以監視製程的信號。用以控制製程的信號可在處理工具500的類比與數位輸出連接部上被輸出。可被監視的處理工具感測器的非限制性範例包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。適當程式化之反饋與控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
可使用任何適當的腔室來實現所揭露實施例。示範之沉積設備包含但不限於出自ALTUS® 產品家族、VECTOR® 產品家族、及/或SPEED® 產品家族(其每一者皆可從位在California之Fremont的Lam Research Corp.,購得)的設備、或任何各種其他市售處理系統。這些站的其中兩者或更多者可執行相同的功能。同樣地,兩個或更多的站可執行不同的功能。每一站可根據期望而被設計/建構來執行特定的功能/方法。
圖6為依照某些實施例之適用於執行薄膜沉積製程之處理系統的方塊圖。系統600包含傳送模組603。傳送模組603提供一清潔、加壓的環境,以將所處理之基板當其在各種反應器模組間移動時之污染的風險降至最低。依照某些實施例,安裝在傳送模組603上的是兩個多站反應器609與610,其各自能夠執行原子層沉積(ALD)。反應器609與610可包含多個站611、613、615、以及617,這些站可依照所揭露實施例而相繼或非相繼地執行操作。這些站可包含加熱支座或基板托架、一或多個氣體入口或噴淋頭或分散板。
又,安裝於傳送模組603上者可為一或多個單或多站模組607,其能夠執行電漿或化學(非電漿)前清理、或與所揭露方法有關的任何其他所述製程。在若干情況下,模組607可用於各種處理,以例如準備用於沉積製程的基板。模組607亦可被設計/建構來執行各種其他製程,例如蝕刻或研磨。系統600亦包含一或多個晶圓來源模組601,晶圓在處理前與處理後被儲存於此處。在大氣傳送腔室619中的大氣機器人(未圖示)可先將晶圓從來源模組601移動到負載室621。傳送模組603中的晶圓傳送裝置(一般為機器人手臂單元)將晶圓從負載室621移動到安裝在傳送模組603上的模組,並且在該等模組之間移動晶圓。
在各種實施例中,系統控制器629係用以在沉積期間控制製程條件。控制器629一般可包含一或多個記憶體裝置以及一或多個處理器。一處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接部、步進馬達控制器電路板等等。
控制器629可控制該沉積設備的所有作業。系統控制器629執行系統控制軟體,該系統控制軟體包含用以控制時序、氣體之混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率等級、晶圓夾具或支座位置、以及特定製程之其他參數的指令組。在若干實施例中,可使用儲存在與控制器629相關聯之記憶體裝置上的其他電腦程式。
一般而言,可存在有與控制器629相關聯的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件之圖形軟體顯示、以及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等等)。
可以任何適當方式來建構系統控制邏輯。一般來說,該邏輯可被設計或建構在硬體及/或軟體中。用以控制驅動電路的指令可被硬編碼(hard coded)或設置成軟體。可藉由『程式化』而設置該等指令。此種程式化被瞭解包含任何形式的邏輯,其包含數位信號處理器、特定用途積體電路、以及具有實現成硬體之特定演算法之其他裝置中的硬編碼邏輯。程式化亦被瞭解包含可在一般用途處理器上被執行的軟體或韌體指令。系統控制軟體可以任何適當的電腦可讀程式化語言加以編碼。
用以控制含鍺還原劑脈衝、氫流量、含鎢前驅物脈衝、以及製程順序中之其他製程的電腦程式碼可以任何習知的電腦可讀程式化語言撰寫:例如,組合語言、C、C++、Pascal、Fortran、或其他語言。經編譯之目的碼或腳本係藉由處理器執行,從而執行程式中所確認的工作。亦如敘述,該程式碼可被硬編碼。
控制器參數係與製程條件有關,例如處理氣體組成與流率、溫度、壓力、冷卻氣體壓力、基板溫度、以及腔室壁溫度。這些參數係以配方的形式提供給使用者,並且可利用使用者介面加以輸入。用以監視製程的信號可由系統控制器629的類比及/或數位輸入連接部所提供。用以控制製程的信號係在沉積設備600的類比與數位輸出連接部上被輸出。
可以許多不同的方式來設計或建構該系統軟體。例如,可撰寫各種腔室構件子程序或控制物件,以依照所揭露實施例來控制實現沉積製程(在若干情況下,以及其他製程)所需之腔室構件的操作。用於此目的之程式或程式區段的範例包含基板定位碼、處理氣體控制碼、壓力控制碼、以及加熱器控制碼。
在若干實施例中,控制器629為系統的部分,該系統可為上述範例的部分。此種系統可包括半導體處理設備,其包括處理工具、腔室、處理平台、及/或特定的處理構件(晶圓支座、氣體流動系統等等)。這些系統可與電子元件整合在一起,該電子元件用以在半導體晶圓或基板的處理之前、期間、以及之後,控制這些系統的操作。該電子元件可被稱為『控制器』,其可控制該系統的各種構件或子部件。可根據處理需求及/或系統類型來程式化控制器629,以控制在此所揭露之任何製程,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、若干系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、進出與特定系統連接或介接之工具及其他傳送工具及/或負載室的晶圓傳送。
大體而言,該控制器可被定義為具有各種積體電路、邏輯、記憶體、及/或軟體的電子元件,其接收指令、發出指令、控制操作、進行清理操作、進行終點測量等等。該積體電路可包含具有韌體形式而儲存有程式指令的晶片、數位信號處理器(DSP,digital signal processor)、被定義為特定用途積體電路(ASIC,application specific integrated circuits)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器、或微控制器。程式指令可為以各種獨立設定值(或程式檔案)形式傳送至控制器的指令,以定義用以在半導體晶圓上或對一系統實現特定處理的操作參數。在若干實施例中,這些操作參數可為製程工程師所定義之配方的部分,以在晶圓之一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的加工期間完成一或多個處理步驟。
在若干實施例中,該控制器可為電腦的一部分或耦合至該電腦,該電腦係與該系統整合在一起,或耦合至該系統,或網路連接至該系統,或為其組合。例如,該控制器可位在「雲端(cloud)」或整個或一部分之晶圓廠主電腦系統中,此可允許晶圓處理的遠程存取。該電腦可對該系統進行遠程存取,以監視加工操作的當前進度、檢查過去加工操作的歷史、從複數加工操作來檢查趨勢或性能指標、改變當前處理的參數、依當前處理來設定處理步驟、或開始新的製程。在若干範例中,遠程電腦(例如伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其可進行參數及/或設定值的輸入或程式化,這些參數及/或設定值之後從該遠程電腦傳送至該系統。在若干範例中,該控制器接收具有資料形式的指令,該指令規定待於一或多個操作期間執行的每一處理步驟的參數。吾人應瞭解這些參數可特定於待執行之製程的類型以及控制器所介接或控制之工具的類型。因此,如上所述,可以下列方式來分配控制器:例如藉由包含以網路連接在一起並且為一共同目的(例如在此所述的製程與控制)而運作的一或多個分離控制器。為此種目的而分配的控制器之一範例可為在腔室上之一或多個積體電路,該積體電路係與遠程設置(例如平台等級或作為遠程電腦之部分)的一或多個積體電路通信,以聯合控制該腔室上的製程。
示範的系統可包含但不限於電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清理腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE,atomic layer etch)腔室或模組、離子植入腔室或模組、塗佈顯影(track)腔室或模組、以及聯合或用於半導體晶圓之加工及/或製造的任何其他半導體處理系統。
如上所述,根據待由該工具所執行的處理步驟,該控制器可與下列其中一或多者進行通信:其他工具電路或模組、其他工具構件、群集(cluster)工具、其他工具介面、相鄰工具、鄰近工具、設置遍布於工廠的工具、主電腦、另一控制器、或用於原料運送而將晶圓容器運至與運離半導體製造廠中之工具位置及/或裝載通道的工具。 進一步之實施例
在此所述之設備與製程可配合微影圖案化工具或製程一起用於例如半導體裝置、顯示器、LED、太陽光電板等等的加工或製造。一般而言,雖然不一定,但此種工具/製程將於共同的加工廠中一起被使用或實施。膜的微影圖案化一般包含下列若干或所有操作(每一個操作能夠以若干可行之工具進行):(1) 使用旋塗或噴塗工具,將光阻施加在工件(即,基板)上;(2) 使用熱板或爐或UV硬化工具來使光阻硬化;(3) 以例如晶圓步進機之工具,將光阻曝露於可見光或UV光或X光;(4) 使用例如溼台(wet bench)的工具,對光阻進行顯影,以選擇性地去除光阻並藉此將其圖案化;(5) 藉由使用乾式或電漿輔助蝕刻工具,將光阻圖案轉印到下伏的膜或工件中;以及(6) 使用例如RF或微波電漿光阻剝除機的工具來去除光阻。此種處理例如可用以圖案化介電層,如上所述,在該介電層上沉積有鉭氮化物、鉭、及/或銅層。 實驗結果
1. 成核延遲。在將矽碳化物沉積於鎢上之期間的成核延遲之問題被例示在圖7所示的圖表中。將矽碳化物沉積在包含曝露鎢層的基板上。所沉積之矽碳化物的厚度被顯示隨著沉積時間變化。所產生的相依性係符合在y軸上具有約7 Å之截距的線性函數。該截距係對應於在鎢上之矽碳化物成核的延遲。當矽碳化物沉積到矽氮化物上時,觀察不到此種延遲。
2. 電漿抑制金屬氧化。以不同的電漿來處理包含曝露鎢層的基板,並在處理之前與之後量測鎢的電阻係數。電阻係數增加係表示鎢氧化物形成,而電阻係數減少或電阻係數不變則表示在選擇的條件下不形成金屬氧化物。結果提供在圖8中。除了未處理之控制基板以外,所有基板皆以遠程形成之電漿進行處理,於此處,在13.56 MHz頻率下使用3 kW功率等級來產生電漿。以在O2 以及H2 之混合物中所形成的電漿,處理第一樣品,於此處,O2 的濃度為0.1體積% (其餘為氫)。經處理之鎢的電阻係數減少,其表示此處理抑制金屬氧化並且不產生鎢氧化物。以在O2 、H2 、以及He之混合物中所形成的電漿,處理第二樣品,於此處,O2 的濃度為0.1體積%,H2 的濃度為2體積% (其餘為氦)。經處理之鎢的電阻係數亦減少,其表示此處理亦抑制金屬氧化並且不產生鎢氧化物。第三樣品為一控制未處理樣品,如所預期,其未在電阻係數上提供任何實質變化。第四樣品為一控制樣品,其被以在O2 以及He之混合物(無其他氣體存在)中所形成的電漿進行處理。由於鎢氧化,所以如所預期,此樣品顯示電阻係數增加。第五樣品為一控制樣品,其被以在純H2 (無其他氣體存在)中所形成的電漿進行處理。如所預期,此樣品顯示電阻係數減少。
3. 矽氧化物的沉積。使用如在此所提供的ALD將矽氧化物層沉積在基板上,於此處,每一個ALD循環包含以在如於此所提供之O2 、H2 、以及He之混合物(具有低O2 含量)中所形成的電漿,氧化所吸附之含矽前驅物(雙(二甲胺基)甲矽烷)。在複數ALD循環之後,基板的折射率被量測,並且為1.4546。此折射率係對應於矽氧化物並且表示成功形成矽氧化物。沉積速率為1.06 Å/循環。在控制實驗中,係藉由使用相同含矽前驅物但具有在O2 以及He之混合物中所形成之電漿的ALD,沉積矽氧化物層。在五個ALD循環之後,基板的折射率被量測,並且為1.4401。沉積速率為1.43 Å/循環。在兩實驗中,優異地沉積出在晶圓不均勻度範圍內的矽氧化物。
4. 使用在此所提供的方法,藉由ALD,在具有曝露W層以及曝露介電層的基板上沉積矽氧化物。然後在矽氧化物上方形成矽碳化物,並且量測在金屬上方及介電質上方之矽碳化物的厚度。在介電質與金屬上方,該厚度為相同,其表示矽氧化物層解決了在鎢上方之成核延遲的問題。
101‧‧‧曝露層
103‧‧‧層
105a‧‧‧層
105b‧‧‧金屬層
105c‧‧‧層
107‧‧‧介電層
109‧‧‧矽氧化物層
111‧‧‧矽碳化物層
201‧‧‧提供具有曝露金屬層以及曝露介電層的半導體基板
203‧‧‧將薄矽氧化物層沉積在金屬層與介電層兩者上方
205‧‧‧將矽碳化物層沉積在矽氧化物層上方
301‧‧‧從金屬表面去除金屬氧化物(可選)
303‧‧‧提供含矽前驅物並且使其吸附到基板的表面上
305‧‧‧清除及/或排空處理腔室
307‧‧‧使吸附的前驅物與在包含H2以及氧來源之處理氣體中所形成的電漿進行反應,以形成矽氧化物並且同時抑制金屬氧化
309‧‧‧清除及/或排空處理腔室
311‧‧‧需要進一步沉積?
313‧‧‧去除可能位於矽氧化物層下方的金屬氧化物(可選)
400‧‧‧設備
410‧‧‧反應腔室
420‧‧‧噴淋頭組件
430‧‧‧基板
435‧‧‧支座
440‧‧‧控制器
450‧‧‧矽前驅物氣體輸送源
455‧‧‧氣體入口
460‧‧‧遠程電漿源
465‧‧‧第二氣體入口
470‧‧‧容器
475‧‧‧入口
480‧‧‧RF源
500‧‧‧多站處理工具
502‧‧‧入站負載室
504‧‧‧出站負載室
506‧‧‧機器人
508‧‧‧箱
510‧‧‧大氣通口
512‧‧‧支座
514‧‧‧處理腔室
516‧‧‧腔室運送通口
518‧‧‧支座
550‧‧‧系統控制器
552‧‧‧處理器
554‧‧‧大量儲存裝置
556‧‧‧記憶體裝置
558‧‧‧系統控制軟體
590‧‧‧晶圓搬運系統
600‧‧‧系統
601‧‧‧晶圓來源模組
603‧‧‧傳送模組
607‧‧‧模組
609‧‧‧反應器
610‧‧‧反應器
611‧‧‧站
613‧‧‧站
615‧‧‧站
617‧‧‧站
619‧‧‧大氣傳送腔室
621‧‧‧負載室
629‧‧‧系統控制器
圖1A-1C顯示在處理期間之半導體基板的橫剖面圖,其例示在此所提供之一實施例。
圖2為在此所提供之一處理方法的製程流程圖。
圖3為依照在此所提供之一實施例之用以沉積矽氧化物之方法的製程流程圖。
圖4為依照在此所提供之一實施例之一ALD處理站的示意圖,該ALD處理站可用於矽氧化物膜的沉積。
圖5顯示依照在此所提供之一實施例之多站處理工具的示意圖。
圖6為依照在此所提供之一實施例之用於沉積膜之處理工具的方塊圖。
圖7為一實驗圖表,其例示隨著沉積時間變化之沉積在鎢層上的矽碳化物厚度。
圖8為一實驗圖表,其例示在以不同電漿進行處理之後的鎢電阻係數。

Claims (30)

  1. 一種處理半導體基板的方法,該方法包含下列步驟: (a) 提供包含一曝露金屬層的一半導體基板; (b) 使一矽氧化物層形成在該金屬層上方並且與該金屬層接觸,其中形成該矽氧化物層的步驟包含使吸附於該金屬層的一含矽前驅物與在包含H2 以及一氧來源之一處理氣體中所形成的電漿進行反應,其中該處理氣體的組成係用於抑制該金屬層的氧化;以及 (c) 使在(b)之後具有一曝露矽氧化物層的該半導體基板與還原電漿接觸,以使位於該矽氧化物層下方的殘留金屬氧化物還原成金屬。
  2. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,該處理氣體中之H2 與該氧來源的體積比例為至少4:1。
  3. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,該氧來源為O2 ,且其中,該處理氣體中之H2 與O2 的體積比例為至少9:1。
  4. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,該氧來源為O2 ,且其中,該處理氣體中之H2 與O2 的體積比例為至少10:1。
  5. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,該氧來源為O2 ,且其中,該處理氣體實質上由具有介於2-10%間之濃度的H2 、具有小於1%之濃度的O2 、以及一惰性氣體所組成。
  6. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,該氧來源為O2 ,且其中,該處理氣體實質上由具有大於95%之濃度的H2 以及具有小於5%之濃度的O2 所組成。
  7. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,該氧來源係選自於由O2 、O3 、CO2 以及N2 O所組成的群組。
  8. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,該氧來源為O2
  9. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,該金屬係選自於由W、Cu、Co、Ti、以及Ta所組成的群組。
  10. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,該金屬為W。
  11. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,(b)包含: (i) 使該半導體基板與該含矽前驅物接觸,並且使該含矽前驅物吸附於該金屬層上; (ii) 藉由清除及/或排空容置該半導體基板的一處理腔室,以去除未吸附之該含矽前驅物; (iii) 使該半導體基板與在包含H2 以及該氧來源之該處理氣體中所形成的該電漿接觸,並且由吸附之該含矽前驅物形成矽氧化物; (iv) 在(iii)之後,清除及/或排空該處理腔室;以及 (v) 重複步驟(i)-(iv)。
  12. 如申請專利範圍第1項所述之處理半導體基板的方法,更包含在(b)之前,對該曝露金屬層進行前清理,以從該曝露金屬層去除金屬氧化物。
  13. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,於(b)中,在包含H2 以及一氧來源之一處理氣體中所形成的該電漿使該金屬層上的一金屬氧化物還原成金屬。
  14. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,於(b)中,該矽氧化物被形成至小於10 Å的厚度。
  15. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,於(b)中,該矽氧化物被形成至5 Å的厚度。
  16. 如申請專利範圍第1項所述之處理半導體基板的方法,其中,於(a)中所提供的該半導體基板包含複數下凹特徵部並且更包含一曝露介電層,且其中,使該矽氧化物形成在該曝露金屬層與該曝露介電層兩者上。
  17. 如申請專利範圍第16項所述之處理半導體基板的方法,更包含將一矽碳化物層沉積到該矽氧化物層上。
  18. 如申請專利範圍第16項所述之處理半導體基板的方法,更包含: 將光阻施加至該半導體基板; 使該光阻曝光; 將該光阻圖案化,並且將圖案轉印至該半導體基板;以及 從該半導體基板選擇性地去除該光阻。
  19. 一種處理半導體基板的方法,該方法包含下列步驟: (a) 提供包含一曝露金屬層以及一曝露介電層的一半導體基板,其中該半導體基板具有複數下凹特徵部; (b) 將一矽氧化物層沉積到該曝露金屬層與該曝露介電層兩者上,並沉積至小於50 Å的厚度;以及 (c) 將一矽碳化物層沉積到該矽氧化物層上,以使該矽碳化物層的厚度大於該矽氧化物層的厚度。
  20. 如申請專利範圍第19項所述之處理半導體基板的方法,其中,該曝露金屬層為一鎢層。
  21. 如申請專利範圍第19項所述之處理半導體基板的方法,其中,該矽氧化物層被沉積至小於10 Å的厚度。
  22. 如申請專利範圍第19項所述之處理半導體基板的方法,其中,該半導體基板為部分加工的一磁阻性隨機存取記憶體(MRAM,magnetoresistive random access memory)裝置。
  23. 如申請專利範圍第19項所述之處理半導體基板的方法,其中,該矽碳化物層被保形地沉積至20-400 Å的厚度。
  24. 如申請專利範圍第19項所述之處理半導體基板的方法,其中,該矽氧化物層係藉由ALD所沉積。
  25. 一種沉積設備,包含: (a) 一處理腔室,具有用以固持一半導體基板的一基板支架; (b) 一電漿產生系統,與該處理腔室耦合;以及 (c) 一控制器,包含用於下列操作之程式指令: (i) 使一矽氧化物層形成在該半導體基板上的一金屬層上方並且與該金屬層接觸,該矽氧化物層係藉由使吸附於該金屬層的一含矽前驅物與在包含H2 以及一氧來源之一處理氣體中所形成的電漿進行反應而形成,其中該處理氣體的組成係用於抑制該金屬層的氧化;以及 (ii) 以還原電漿來處理所形成之具有一曝露矽氧化物層的該半導體基板,以使位於該矽氧化物層下方的一殘留金屬氧化物還原成金屬。
  26. 一種用以將材料沉積在半導體基板上的系統,該系統包含: (a) 一處理腔室,用於容置一半導體基板; (b) 一電漿產生系統,與該處理腔室耦合;以及 (c) 一控制器,包含用以將材料沉積在具有複數下凹特徵部、一曝露金屬層、以及一曝露介電層之一半導體基板上的程式指令,該等指令用以: (i) 將一矽氧化物層沉積到該曝露金屬層與該曝露介電層兩者上並且沉積至小於50 Å的厚度;以及 (ii) 將一矽碳化物層沉積到該矽氧化物層上,以使所沉積之該矽碳化物層的厚度大於該矽氧化物層的厚度。
  27. 一種半導體裝置,包含: (a) 一金屬層以及一介電層; (b) 一矽氧化物層,具有與該金屬層及該介電層兩者接觸的一表面,其中該矽氧化物層的厚度係小於50 Å;以及 (c) 一矽碳化物層,在與接觸該金屬層及該介電層之該矽氧化物表面相反的一表面,接觸該矽氧化物層,其中該矽碳化物層的厚度大於該矽氧化物層的厚度。
  28. 如申請專利範圍第27項所述之半導體裝置,其中,該金屬層與該矽氧化物層之間的介面無金屬氧化物。
  29. 如申請專利範圍第27項所述之半導體裝置,其中,該金屬係選自於由W、Cu、Co、Ti、以及Ta所組成的群組。
  30. 如申請專利範圍第27項所述之半導體裝置,其中,該矽氧化物層具有小於10 Å的厚度。
TW107113681A 2017-04-27 2018-04-23 用以沉積矽氧化物在金屬層上的方法及設備 TW201903192A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/499,318 2017-04-27
US15/499,318 US10319582B2 (en) 2017-04-27 2017-04-27 Methods and apparatus for depositing silicon oxide on metal layers

Publications (1)

Publication Number Publication Date
TW201903192A true TW201903192A (zh) 2019-01-16

Family

ID=63917465

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113681A TW201903192A (zh) 2017-04-27 2018-04-23 用以沉積矽氧化物在金屬層上的方法及設備

Country Status (5)

Country Link
US (1) US10319582B2 (zh)
KR (1) KR102166792B1 (zh)
CN (1) CN108899266B (zh)
SG (1) SG10201803412XA (zh)
TW (1) TW201903192A (zh)

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) * 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11770986B2 (en) 2021-04-22 2023-09-26 International Business Machines Corporation Etch-resistant doped scavenging carbide electrodes
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102640972B1 (ko) * 2021-05-28 2024-02-23 부산대학교 산학협력단 실리콘이 코팅 된 구리 제조방법, 이를 이용한 실리콘이 코팅된 산화방지용 구리 및 이를 이용한 반도체 장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114908326A (zh) * 2022-05-06 2022-08-16 北京北方华创微电子装备有限公司 半导体工艺设备及形成叠层薄膜结构的方法
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用
CN117524922A (zh) * 2023-10-25 2024-02-06 江苏首芯半导体科技有限公司 薄膜沉积机台及半导体制程方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4985371A (en) * 1988-12-09 1991-01-15 At&T Bell Laboratories Process for making integrated-circuit device metallization
US6972436B2 (en) * 1998-08-28 2005-12-06 Cree, Inc. High voltage, high temperature capacitor and interconnection structures
US6777349B2 (en) * 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
KR100598290B1 (ko) * 2004-05-20 2006-07-07 동부일렉트로닉스 주식회사 리소그래피 공정의 감광막 패턴 형성 방법
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
CN101681994A (zh) * 2007-05-31 2010-03-24 Nxp股份有限公司 包括可转变结构的电子器件以及制造该电子器件的方法
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
JP2011054878A (ja) * 2009-09-04 2011-03-17 Panasonic Corp 半導体装置及びその製造方法
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US9613826B2 (en) * 2015-07-29 2017-04-04 United Microelectronics Corp. Semiconductor process for treating metal gate

Also Published As

Publication number Publication date
US20180315597A1 (en) 2018-11-01
KR20180120607A (ko) 2018-11-06
US10319582B2 (en) 2019-06-11
KR102166792B1 (ko) 2020-10-19
CN108899266A (zh) 2018-11-27
CN108899266B (zh) 2023-07-11
SG10201803412XA (en) 2018-11-29

Similar Documents

Publication Publication Date Title
KR102166792B1 (ko) 금속 층들 상에 실리콘 옥사이드를 증착하기 위한 방법들 및 장치
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR20210152447A (ko) 초박 원자층 증착 막 정확도 두께 제어
TW201805476A (zh) 使用電漿加強化學氣相沉積法以沉積保形及低濕蝕刻速率包覆層的方法
US20160293398A1 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
TWI721022B (zh) 低介電常數含鋁蝕刻終止膜的形成方法
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
US20170330797A1 (en) Manganese barrier and adhesion layers for cobalt
TW201717252A (zh) 使半導體裝置中的膜密集化之方法
US20240038539A1 (en) Selective processing with etch residue-based inhibitors