TW201805476A - 使用電漿加強化學氣相沉積法以沉積保形及低濕蝕刻速率包覆層的方法 - Google Patents
使用電漿加強化學氣相沉積法以沉積保形及低濕蝕刻速率包覆層的方法 Download PDFInfo
- Publication number
- TW201805476A TW201805476A TW106114876A TW106114876A TW201805476A TW 201805476 A TW201805476 A TW 201805476A TW 106114876 A TW106114876 A TW 106114876A TW 106114876 A TW106114876 A TW 106114876A TW 201805476 A TW201805476 A TW 201805476A
- Authority
- TW
- Taiwan
- Prior art keywords
- silicon
- processing
- plasma
- post
- substrate
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 200
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 title abstract description 51
- 238000005538 encapsulation Methods 0.000 title description 3
- 230000008569 process Effects 0.000 claims abstract description 148
- 239000000758 substrate Substances 0.000 claims abstract description 132
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 93
- 239000010703 silicon Substances 0.000 claims abstract description 93
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 92
- 239000002243 precursor Substances 0.000 claims abstract description 50
- 239000001257 hydrogen Substances 0.000 claims abstract description 44
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 44
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 42
- 239000000376 reactant Substances 0.000 claims abstract description 42
- 210000002381 plasma Anatomy 0.000 claims description 220
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 64
- 238000012545 processing Methods 0.000 claims description 64
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 64
- 230000008021 deposition Effects 0.000 claims description 61
- 238000012805 post-processing Methods 0.000 claims description 56
- 239000007789 gas Substances 0.000 claims description 47
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 22
- 150000003254 radicals Chemical class 0.000 claims description 21
- 239000004065 semiconductor Substances 0.000 claims description 21
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 19
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 18
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 15
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 14
- 239000011261 inert gas Substances 0.000 claims description 12
- 238000005253 cladding Methods 0.000 claims description 7
- 239000000463 material Substances 0.000 claims description 7
- 238000010438 heat treatment Methods 0.000 claims description 6
- 238000001039 wet etching Methods 0.000 claims description 6
- 238000011065 in-situ storage Methods 0.000 claims description 5
- 230000003213 activating effect Effects 0.000 claims description 2
- 238000000151 deposition Methods 0.000 abstract description 69
- 235000012431 wafers Nutrition 0.000 description 37
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 35
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 30
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 21
- 229910052732 germanium Inorganic materials 0.000 description 20
- 238000002474 experimental method Methods 0.000 description 18
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 17
- 238000011068 loading method Methods 0.000 description 17
- 229910052757 nitrogen Inorganic materials 0.000 description 17
- 229910000077 silane Inorganic materials 0.000 description 17
- 230000000737 periodic effect Effects 0.000 description 16
- 229910021529 ammonia Inorganic materials 0.000 description 15
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 14
- 150000002500 ions Chemical class 0.000 description 14
- 238000004519 manufacturing process Methods 0.000 description 13
- 238000012546 transfer Methods 0.000 description 12
- 238000000231 atomic layer deposition Methods 0.000 description 11
- -1 silicon nitrides Chemical class 0.000 description 10
- 238000010586 diagram Methods 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 7
- 229910052786 argon Inorganic materials 0.000 description 7
- 229910052799 carbon Inorganic materials 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 6
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 6
- 238000011112 process operation Methods 0.000 description 6
- 230000006798 recombination Effects 0.000 description 6
- 238000005215 recombination Methods 0.000 description 6
- 238000003860 storage Methods 0.000 description 6
- OBOXTJCIIVUZEN-UHFFFAOYSA-N [C].[O] Chemical compound [C].[O] OBOXTJCIIVUZEN-UHFFFAOYSA-N 0.000 description 5
- 125000000217 alkyl group Chemical group 0.000 description 5
- 150000001412 amines Chemical class 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 239000001307 helium Substances 0.000 description 5
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 229910052751 metal Inorganic materials 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 description 3
- 238000000280 densification Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000012707 chemical precursor Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- GAURFLBIDLSLQU-UHFFFAOYSA-N diethoxy(methyl)silicon Chemical compound CCO[Si](C)OCC GAURFLBIDLSLQU-UHFFFAOYSA-N 0.000 description 2
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical compound CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 description 2
- ZXPDYFSTVHQQOI-UHFFFAOYSA-N diethoxysilane Chemical compound CCO[SiH2]OCC ZXPDYFSTVHQQOI-UHFFFAOYSA-N 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- PKTOVQRKCNPVKY-UHFFFAOYSA-N dimethoxy(methyl)silicon Chemical compound CO[Si](C)OC PKTOVQRKCNPVKY-UHFFFAOYSA-N 0.000 description 2
- YQGOWXYZDLJBFL-UHFFFAOYSA-N dimethoxysilane Chemical compound CO[SiH2]OC YQGOWXYZDLJBFL-UHFFFAOYSA-N 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- BIXHRBFZLLFBFL-UHFFFAOYSA-N germanium nitride Chemical compound N#[Ge]N([Ge]#N)[Ge]#N BIXHRBFZLLFBFL-UHFFFAOYSA-N 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- GCOJIFYUTTYXOF-UHFFFAOYSA-N hexasilinane Chemical compound [SiH2]1[SiH2][SiH2][SiH2][SiH2][SiH2]1 GCOJIFYUTTYXOF-UHFFFAOYSA-N 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 230000014759 maintenance of location Effects 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 2
- QQQSFSZALRVCSZ-UHFFFAOYSA-N triethoxysilane Chemical compound CCO[SiH](OCC)OCC QQQSFSZALRVCSZ-UHFFFAOYSA-N 0.000 description 2
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 2
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- WLSVLQJPKZNQJC-UHFFFAOYSA-N N-propan-2-ylpropan-2-amine silane Chemical compound [SiH4].C(C)(C)NC(C)C WLSVLQJPKZNQJC-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- KCFIHQSTJSCCBR-UHFFFAOYSA-N [C].[Ge] Chemical compound [C].[Ge] KCFIHQSTJSCCBR-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- AQSDEAOZWVGXQU-UHFFFAOYSA-N [SiH4].C(CCC)N(CCCC)CCCC.C(CCC)N(CCCC)CCCC Chemical compound [SiH4].C(CCC)N(CCCC)CCCC.C(CCC)N(CCCC)CCCC AQSDEAOZWVGXQU-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 238000013019 agitation Methods 0.000 description 1
- 125000003545 alkoxy group Chemical group 0.000 description 1
- 125000003282 alkyl amino group Chemical group 0.000 description 1
- 125000002947 alkylene group Chemical group 0.000 description 1
- 125000000304 alkynyl group Chemical group 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- LUXIMSHPDKSEDK-UHFFFAOYSA-N bis(disilanyl)silane Chemical compound [SiH3][SiH2][SiH2][SiH2][SiH3] LUXIMSHPDKSEDK-UHFFFAOYSA-N 0.000 description 1
- GADSHBHCKVKXLO-UHFFFAOYSA-N bis(disilanylsilyl)silane Chemical compound [SiH3][SiH2][SiH2][SiH2][SiH2][SiH2][SiH3] GADSHBHCKVKXLO-UHFFFAOYSA-N 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- XYYQWMDBQFSCPB-UHFFFAOYSA-N dimethoxymethylsilane Chemical compound COC([SiH3])OC XYYQWMDBQFSCPB-UHFFFAOYSA-N 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 230000008034 disappearance Effects 0.000 description 1
- LICVGLCXGGVLPA-UHFFFAOYSA-N disilanyl(disilanylsilyl)silane Chemical compound [SiH3][SiH2][SiH2][SiH2][SiH2][SiH3] LICVGLCXGGVLPA-UHFFFAOYSA-N 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- CVLHDNLPWKYNNR-UHFFFAOYSA-N pentasilolane Chemical compound [SiH2]1[SiH2][SiH2][SiH2][SiH2]1 CVLHDNLPWKYNNR-UHFFFAOYSA-N 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920000548 poly(silane) polymer Polymers 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000012686 silicon precursor Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 230000004936 stimulating effect Effects 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 1
- YUYCVXFAYWRXLS-UHFFFAOYSA-N trimethoxysilane Chemical compound CO[SiH](OC)OC YUYCVXFAYWRXLS-UHFFFAOYSA-N 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/50—Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
- H01L21/56—Encapsulations, e.g. encapsulation layers, coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N50/00—Galvanomagnetic devices
- H10N50/01—Manufacture or treatment
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
- C23C16/325—Silicon carbide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/515—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
- H01J37/32165—Plural frequencies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02348—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/28—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
- H01L23/29—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
- H01L23/291—Oxides or nitrides or carbides, e.g. ceramics, glass
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N50/00—Galvanomagnetic devices
- H10N50/10—Magnetoresistive devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N50/00—Galvanomagnetic devices
- H10N50/80—Constructional details
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3321—CVD [Chemical Vapor Deposition]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Ceramic Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
本文中提供具有低氫含量之保形緻密含矽膜的沉積方法。該等方法涉及在將基板曝露至含矽前驅物及反應物時,使電漿脈衝化,以促進主要基於自由基的脈衝電漿加強化學氣相沉積製程,從而沉積保形的含矽膜。該等方法亦涉及週期性地執行後置處理操作,藉此,每利用脈衝電漿PECVD沉積約20Å至約50Å厚的膜層,將所沉積之膜層曝露至惰性電漿,以使所沉積之膜層緻密化、並降低其中的氫含量。
Description
本揭露內容相關於處理基板的方法及設備,更尤其相關於使用電漿加強化學氣相沉積法以沉積保形且低濕蝕刻速率包覆層的方法。
許多半導體元件製造製程涉及例如矽氮化物及矽碳化物之含矽膜的形成。例如Fin FET、MRAM、及PCRAM的邏輯及記憶體中的先進元件可包含矽氮化物及矽碳化物膜,其係在低溫下沉積,以避免對正受處理之基板上其他材料的損傷。含矽膜的一些沉積過程涉及電漿加強化學氣相沉積(PECVD, plasma enhanced chemical vapor deposition)及/或原子層沉積(ALD, atomic layer deposition)。
本文中提供用以處理半導體基板的方法及設備。一實施態樣涉及容納於製程腔室中之基板的處理方法,該方法包含:在低於約400°C的基板溫度下引入含矽前驅物及反應物至製程腔室;當引入含矽前驅物及反應物時,激發並脈衝化第一電漿,以產生用以在基板範圍內形成含矽膜的自由基物種;以及在形成含矽膜之後,執行後置處理操作,該後置處理操作包含:停止含矽前驅物的流動及反應物的流動;引入後置處理氣體至製程腔室中;以及激發第二電漿,以處理含矽膜,其中,第一電漿之脈衝化期間之每一脈衝的持續時間係介於約0.02ms 與約5ms之間。在一些實施例中,後置處理氣體係選自由氮、氨、氬、氦、及其組合組成的群組。
可使第一電漿脈衝500與2000次之間。
在諸多實施例中,後置處理操作係在含矽膜形成至介於約20Å與約50Å之間的厚度後執行。
在一些實施例中,激發第二電漿,維持約10秒與約60秒之間的持續時間。
在諸多實施例中,含矽膜係矽氧化物,且經後置處理的含矽膜在100:1的氫氟酸溶液中具有約50Å/分鐘的濕蝕刻速率。
在諸多實施例中,含矽膜係矽氮化物,且經後置處理的含矽膜在100:1的氫氟酸溶液中具有低於約20Å/分鐘的濕蝕刻速率。
含矽膜可為矽氮化物、矽碳化物、及矽氧化物中的任何者。
含矽膜可在介於約250°C與約350°C之間的溫度下沉積。後置處理操作可在介於約250°C與約350°C之間的溫度下執行。
在諸多實施例中,腔室具有介於約2Torr與約10Torr之間的腔室壓力。
在一些實施例中,含矽膜係沉積於磁性元件範圍內。
第一及第二電漿可原位地產生。
在諸多實施例中,第一及第二電漿係利用雙頻率電漿產生器而激發。在一些實施例中,所沉積之含矽膜係矽氮化物,且經後置處理之矽氮化物膜的氫含量低於約15a.u.。在一些實施例中,含矽膜係矽氮化物,且矽氮化物的密度至少為約2.6g/cm3
。
在諸多實施例中,第一及第二電漿係利用單頻率電漿產生器而激發。在一些實施例中,所沉積之含矽膜係矽氮化物,且經後置處理之矽氮化物膜的氫含量低於約26a.u.。在一些實施例中,含矽膜係矽氮化物,且矽氮化物的密度至少為約2.1g/cm3
。
在諸多實施例中,基板係圖案化成具有特徵部,該等特徵部具有介於約1:1至約60:1之間的縱橫比。在諸多實施例中,執行後置處理操作,以從含矽膜移除氫。在諸多實施例中,含矽膜係作為磁性元件範圍內的包覆層而沉積。
另一實施態樣涉及用以處理包含半導體材料之半導體基板的設備,該設備包含:一或更多製程腔室,其中至少一製程腔室包含用以加熱半導體基板的受加熱基座;電漿產生器;一或更多氣體入口,其通往製程腔室中、且與流動控制硬體相關聯;以及控制器,其具有至少一處理器及一記憶體,其中該至少一處理器與該記憶體係互相通訊連接,該至少一處理器係至少與流動控制硬體操作性地連接,且該記憶體儲存電腦可執行指令,該等電腦可執行指令係用以控制該至少一處理器,以至少藉由以下方式控制流動控制硬體:(i)將基座溫度設定至低於約400°C的溫度;(ii)引入含矽沉積前驅物及反應物至一或更多製程腔室;(iii)當沉積前驅物及反應物被引入至一或更多製程腔室時,將第一電漿激發成脈衝,以形成含矽膜;以及(iv)週期性地停止含矽沉積前驅物及反應物的流動,以及引入後置處理氣體至一或更多製程腔室,並激發第二電漿,以處理所沉積的含矽膜。
該等及其他實施態樣係於以下參考圖示中進一步加以描述。
在以下描述內容中,提出許多具體的細節,以提供對所呈現之實施例的透徹理解。所揭露實施例可在沒有該等具體細節的一些或全部者的情況下實行。在其他情形中,已熟知的製程操作並未作詳細描述,以避免不必要地模糊所揭露實施例。儘管所揭露實施例將結合具體的實施例而加以描述,但吾人將理解其並非意在限制所揭露的實施例。
在本申請案中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分製造積體電路」係可互換使用。具有該領域普通知識者將理解,用語「部分製造積體電路」可指處於其上積體電路製造之許多階段的任何者期間的矽晶圓。半導體元件產業中所使用之晶圓或基板典型地具有200mm、或300mm、或450mm的直徑。除非以其他方式陳述,否則本文中所述之處理細節(如流速、功率位準等)係相關於300mm直徑之基板的處理、或相關於用以處理300mm直徑基板之腔室的處理,且該等處理細節可就具有其他尺寸的基板或腔室酌情進行縮放。
半導體製造製程經常涉及例如矽氧化物、矽氮化物、及矽碳化物之含矽膜的製造。如此之膜層有時係沉積於圖案化基板上,以形成用於諸多應用的保形膜,例如用於接點的阻障層。矽氮化物及矽碳化物層可在用於邏輯及記憶體結構(例如,FinFET、MRAM、3DXPointReRAM、及PCRAM)的先進元件中用作包覆膜、間隔件、及阻障膜。當元件縮小且技術變得更先進時,期望更高品質、緻密、且更保形的膜層。用以沉積保形膜的習知技術涉及原子層沉積(ALD)。用以沉積保形膜之諸多產業上的ALD製程利用鹵化前驅物,尤其在沉積係於低於約450°C之溫度下執行的情形中亦然。或者,利用ALD、在低於450°C的溫度下,使用非鹵化前驅物來沉積矽氮化物及矽碳化物膜的成本係昂貴的。習知的技術導致產生可能不具有期望品質(如具有低的氫含量)的膜層。當用於沉積的含矽前驅物及/或反應物包含氫原子時,氫可能結合至所沉積的膜層中。例如,沉積中使用的一些矽烷以及氨可能導致氫的結合。然而,吾人可期望形成具有低氫含量的保形含矽膜,從而形成用於複數應用(如包覆層的形成)之較高品質的膜層。
本文提供在基板上沉積例如矽氧化物、矽氮化物、及矽碳化物之保形含矽膜的方法。利用某些揭露實施例所沉積之含矽膜係高品質膜層,其展現出低氫含量、及稀釋氫氟酸中的低蝕刻速率。含矽膜係沉積於基板上,該基板可為矽晶圓,例如200mm晶圓、300mm晶圓、或450mm晶圓,包括其上沉積有一或更多材料層(如介電材料、導電材料、或半導電材料)的晶圓。可沉積於基板上之覆層的非限制性範例包含介電層及導電層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。基板可受到圖案化,以形成具有以下縱橫比(例如約5:1)的特徵部:介於約1:1與約60:1之間、或大於約1.5:1、或大於約4:1、或介於約1.5:1與60:1之間、或介於約1.5:1與40:1之間、或介於約1.5:1與20:1之間。
根據某些揭露實施例所沉積的膜層可為保形的。保形度可藉由階梯覆蓋率判定。如本文中所使用之「階梯覆蓋率」係藉由側壁上之沉積膜的平均厚度除以特徵部頂部處沉積膜的平均厚度、並乘以100來獲得百分數而計算。
本文中所述方法涉及:利用電漿加強化學氣相沉積(PECVD)的脈衝電漿來沉積含矽膜、以及週期性地執行後置處理操作。脈衝電漿產生主要基於自由基的沉積製程,而不是(涉及連續電漿之習知PECVD中所使用的)主要基於離子的沉積製程。圖1顯示單一射頻(RF)電漿脈衝中所產生之電漿物種的相對量的圖示。實線代表維持給定持續時間之單一電漿脈衝,使得電漿被開啟,以及被關閉。線101代表自由基的相對量、線103代表電子的相對量、且線105代表所產生之離子的量。如顯示,當開啟電漿時,自由基、電子、及離子皆產生。當關閉電漿時,如線103所示之電子的量由於電子再結合而在短時間內顯著下降至幾乎為0。如線105所示,在脈衝之後保留的離子量亦在短時間之後下降至幾乎為0,但其相較於電子的短時間為較長的時間。如線101所示,關閉電漿之後,留下之自由基的量遠比離子及電子的量更大,且自由基隨時間再結合的速率比離子及電子再結合的速率更慢。與脈衝電漿PECVD中所使用之單一電漿脈衝不同,在連續電漿PECVD中,自由基、電子、及離子係連續地產生,且沉積主要係基於離子,伴隨著自由基的若干擴散。往基板之特徵部中的擴散亦由離子及自由基的結合所促進。然而,在脈衝電漿PECVD中,在每一脈衝之後,自由基係保留於製程腔室中、用以沉積膜層的主要電漿物種。因此,沉積主要係基於自由基,且多數情況下,自由基係擴散至基板的特徵部中,以形成膜層。利用自由基所形成之膜層具有與主要利用離子物種所沉積之膜層不同的品質。例如,就矽氮化物、矽氧化物、及矽碳化物膜而言,基於自由基的沉積導致產生可具有低氫含量的膜層。就主要利用自由基而沉積的膜層而言,可有所不同的其他品質包含:氫含量、濕蝕刻速率、密度、及氣密性(其可藉由評估濕蝕刻速率而判定)。
在諸多實施例中,亦執行具有後置處理操作的脈衝電漿PECVD。本文中所述的後置處理操作可稱為原位電漿緻密化製程。在一些實施例中,原位電漿緻密化製程可在區別於脈衝電漿PECVD之腔室的腔室中執行。緻密化可藉由從沉積膜移除氫、以及增加膜層密度而發生,從而導致較低的濕蝕刻速率。後置處理可藉由週期性地將基板曝露至惰性氣體、並在曝露至惰性氣體期間激發電漿而執行。例如,在一些實施例中,在沉積至少一些含矽膜之後,可將含矽膜曝露至氬電漿維持介於約10秒與約50秒之間的持續時間。後置處理操作可在利用脈衝電漿PECVD沉積約20Å至約50Å厚的膜層之後執行。在諸多實施例中,含矽膜可藉由在脈衝電漿PECVD與曝露至惰性氣體電漿之間進行循環而沉積。利用PECVD之脈衝電漿及後置處理的組合,沉積膜可達成高階梯覆蓋率、高品質、較低的氫含量、及較低的濕蝕刻速率。
本文中所述的方法係在低於約400℃的溫度下執行,例如約250℃與約350℃之間(例如約275℃)。吾人將理解,如本文中所述的溫度可指的是固持基板之基座可設定的溫度。用語「基板溫度」、「基座溫度」、及「溫度」皆可指基座所設定的溫度。方法亦可在具有低於約10Torr之腔室壓力(如約2Torr與約10Torr之間)的製程腔室中執行。
圖2提供處理流程圖,其描繪根據某些揭露實施例可執行的操作。在操作201中,可將圖案化基板設置於製程腔室中。例示性製程腔室係於以下相關於圖4及5而進一步描述。如上所述,基板可為其上沉積有一或更多介電材料、導電材料、或半導電材料的矽晶圓。基板可圖案化成具有複數特徵部,該等特徵部具有介於約1:1與約60:1之間、或大於約1.5:1、或大於約4:1、或介於約1.5:1與60:1之間、或介於約1.5:1與40:1之間、或介於約1.5:1與20:1之間的縱橫比(如約5:1)。當圖案化基板被提供至製程腔室時,基板可受到「溫度浸泡」,藉此將基板加熱至製程溫度,基板將在此製程溫度受到本文中所述操作的處理。例如,在一些實施例中,基板可被加熱至低於約400℃的溫度,例如介於約250°C與約350°C之間、或約275°C。
在操作203中,基板係連續地曝露至含矽沉積前驅物及反應物。亦即,沉積前驅物及反應物二者皆可連續地流動至容納基板的製程腔室。沉積前驅物可為任何的含IV族元素前驅物,例如含矽前驅物。在一些實施例中,沉積前驅物可為含鍺前驅物。
針對操作203所選擇的沉積前驅物及反應物取決於利用所揭露之實施例正沉積之膜層的類型。例如,矽氮化物膜的沉積可藉由將基板曝露至含矽前驅物及含氮反應物而執行。例示性含矽前驅物包含具有以下結構的含矽前驅物:其中R1
、R2
、及R3
可為相同或不同的取代基,且可包含矽烷、胺、 鹵化物、氫、或有機基團(例如,烷胺基、烷氧基、烷基、烯基、炔基、及芳香基團)。
在一些實施例中,含矽前驅物係烷氧矽烷。可使用之烷氧矽烷包含但不限於以下者: Hx
-Si-(OR)y
,其中x=1~3,x+y=4,且R為經取代或未取代的烷基團;以及 Hx
(RO)y
-Si-Si-(OR)y
Hx
,其中x=1~2,x+y=3,且R為經取代或未取代的烷基團。
含矽前驅物的範例包含:甲基矽烷、三甲基矽烷(3MS)、乙基矽烷、丁矽烷、五矽烷、辛矽烷、七矽烷、六矽烷、環丁矽烷、環七矽烷、環六矽烷、環辛矽烷、環五矽烷、1,4-二㗁-2,3,5,6-四矽環己烷、二乙氧甲基矽烷(DEMS)、二乙氧矽烷(DES)、二甲氧甲基矽烷、二甲氧矽烷(DMOS)、甲基-二乙氧矽烷(MDES)、甲基-二甲氧矽烷(MDMS)、八甲氧十二矽氧烷(OMODDS)、三級-丁氧基二矽烷、四甲基環四矽氧烷(TMCTS)、四氧甲基環四矽氧烷(TOMCTS)、三乙氧矽烷(TES)、三乙氧矽氧烷(TRIES)、及三甲氧矽烷(TMS或TriMOS)。
在一些實施例中,含矽前驅物可為具有氫原子的胺矽烷,例如雙二乙基胺矽烷、二異丙基胺矽烷、三級-丁基胺矽烷(BTBAS)、或參(二甲基胺)矽烷。胺矽烷前驅物包含但不限於以下者:Hx
-Si-(NR)y
,其中x=1~3,x+y=4,且R為有機或氫化物基團。
在一些實施例中,可使用含鹵素矽烷,使得矽烷包含至少一氫原子。如此之矽烷可具有SiXa
Hy
的化學式,其中y≥1。例如,在一些實施例中可使用二氯矽烷(H2
SiCl2
)。
就沉積矽氮化物膜而言,在操作203中可使用含氮反應物。例示性含氮反應物包含氮氣及氨氣。
在另一範例中,矽碳化物的沉積可藉由將基板曝露至含矽前驅物及含碳反應物而執行。在另一範例中,氧摻雜矽碳化物的沉積可藉由將基板曝露至含矽前驅物、及含氧及碳反應物而執行。含氧及碳反應物的範例包含一氧化碳、二氧化碳、及含氧碳氫化合物(如Cx
Hy
Oz
)。在另一範例中,氧摻雜矽碳化物的沉積可藉由將基板曝露至含矽及碳前驅物、及氫而執行。在一些實施例中,矽碳化物或鍺碳化物層可(分別地)藉由使含矽及碳前驅物與氫反應、或使含鍺及碳前驅物與氫反應而沉積。
在諸多實施例中,為沉積氧摻雜矽碳化物層、或氧摻雜鍺碳化物層,可使用氫氣作為反應物。
在另一範例中,鍺氮化物層的沉積可藉由將基板曝露至含鍺前驅物及含氮反應物而執行。例示性含鍺反應物包含可反應形成鍺氮化物、鍺碳化物的任何含鍺化合物,或者可使用氧摻雜鍺碳化物。範例包含鍺烷,例如Gen
Hn+4
、Gen
Hn+6
、Gen
Hn+8
、及Gen
Hm
,其中n為從1至10的整數,且n為與m不同的整數。亦可使用其他的含鍺化合物,例如烷基鍺烷、烷基鍺、胺鍺烷、碳鍺烷、及鹵素鍺烷。
在另一範例中,鍺碳化物的沉積可藉由將基板曝露至含鍺前驅物及含碳反應物(例如四甲基矽烷、三甲基矽烷、及雙-三丁基胺矽烷)而執行。在另一範例中,氧摻雜鍺碳化物的沉積可藉由將基板曝露至含鍺前驅物、及含氧及碳反應物而執行。在另一範例中,氧摻雜鍺碳化物的沉積可藉由將基板曝露至含鍺及碳前驅物、及氫而執行。
返回至圖2,在操作205中,當前驅物及反應物正流動時,激發電漿,並藉由開啟及關閉電漿而使該電漿脈衝化。可在13.56MHz的電漿頻率下激發電漿。在一些實施例中,電漿係利用雙頻率射頻產生器而產生。在一些實施例中,電漿係利用單頻率射頻產生器而產生。在諸多實施例中,用於高頻率電漿的電漿功率係介於約400W(0.1W/cm2
)與約5000W(1.5W/cm2
)之間。在諸多實施例中,用於低頻率電漿的電漿功率係介於約400W(0.1W/cm2
)與約3000W(1W/cm2
)之間。電漿可在介於約2Hz與約100kHz之間的脈衝頻率下脈衝化,且具有從約1%至約95%範圍內的工作循環。工作循環係定義成在具有持續時間T的週期期間,電漿開啟的持續時間。持續時間T包含給定週期期間的脈衝開啟時間的持續時間(電漿在該持續時間係處於開啟狀態)、及電漿關閉時間的持續時間(電漿在該持續時間係處於關閉狀態)。脈衝頻率將被理解成1/T。例如,就電漿脈衝週期T=100µs而言,頻率為1/T=1/100µs,或10 kHz。工作循環或工作比率為週期T中電漿處於開啟狀態的分數或百分比,使得工作循環或工作比率為脈衝開啟時間除以T。例如,就電漿脈衝週期T=100µs而言,若脈衝開啟時間為70µs(使得在一週期中,電漿處於開啟狀態的持續時間為70µs)、且脈衝關閉時間為30µs(使得在一週期中,電漿處於關閉狀態的持續時間為30µs),則工作循環為70%。在一些實施例中,脈衝步驟期間之最短的RF開啟時間可為如約5微秒般短。在一些實施例中,最短的RF關閉時間可約為5微秒。取決於工作循環及頻率,可執行RF開啟/RF關閉脈衝的諸多組合。例如,在一些實施例中,可執行該操作,持續約0.01ms至約5ms、或約0.02ms與約5ms之間、或約0.05ms與約5ms之間、或約0.05ms與約1.9ms之間、約0.5ms與約1.9ms之間的持續時間。在操作205期間,取決於總電漿持續時間,可使電漿脈衝化數百至數千次。
脈衝電漿達成了足夠在基板範圍內形成具有高階梯覆蓋率之保形層的條件。在連續PECVD電漿沉積中,當電漿為開啟狀態時,離子、自由基、中性物種、及其他反應性物種係產生於腔室中。在如本文中所述的脈衝電漿PECVD沉積中,吾人相信當於每一脈衝之後關閉電漿時,反應性物種按照以下順序再結合:電子消失/再結合、離子再結合、及自由基再結合。因為脈衝係極短的(例如,電漿係維持續一短持續時間開啟,然後維持一較長持續時間關閉,以容許沉積),故當關閉電漿時,電子及離子進行再結合,從而消除了沉積材料過程中離子的方向性。自由基花費較長的時間進行再結合,因此沉積主要係由自由基而不是離子驅動。然後自由基能夠深入高縱橫比(例如就一些應用而言,大於4:1)特徵部中,並且沉積保形、高階梯覆蓋率的膜層,甚至在特徵部的底部亦然。
返回至圖2,在操作207中,基板係曝露至無含矽或含鍺反應物的電漿,以進行後置處理電漿操作。電漿可藉由激發後置處理氣體而產生,在一些實施例中,該後置處理氣體可為惰性氣體。在一些實施例中,脈衝電漿PECVD係與操作207結合執行,使得操作207係週期性地執行。例如,在一些實施例中,在操作205之後,停止含矽前驅物及反應物的流動、引入後置處理氣體、以及激發連續電漿,從而在無含矽或含鍺反應物的情況下處理所沉積之膜層。執行脈衝電漿PECVD與後置處理(電漿曝露至後置處理電漿)的組合相較於單獨執行脈衝電漿PECVD達成更高品質、更高階梯覆蓋率、及更低氫含量的含矽膜。儘管利用連續電漿所沉積之習知沉積PECVD膜可結合後置處理而使用,但如此之膜層可能無法產生如利用脈衝電漿PECVD與後置處理之組合所沉積之膜層般高品質、具有高階梯覆蓋率的膜層。
在操作207中,包含沉積層的基板係週期性地曝露至無含矽或含鍺反應物的電漿。在一些實施例中,操作207係在低於約400°C、或約250°C與約350°C之間的基板溫度(如約275°C)下執行。在覆層係藉由脈衝電漿PECVD製程而沉積的諸多實施例中,圖2之操作205中每一脈衝期間的電漿曝露係實質上比操作207的後置處理電漿持續時間更短。例如,在諸多實施例中,操作207中的電漿曝露可具有介於約10秒與約50秒之間的持續時間。
進一步講,與操作205不同,在操作207期間,無含矽或含鍺反應物流動至製程腔室。更確切地講,在激發電漿的操作207期間,使惰性氣體或複數氣體之組合流動至製程腔室,從而產生能夠使沉積膜改質及緻密化的電漿物種。氣體可取決於待沉積之膜層的類型、及沉積製程期間所使用的反應物而加以選擇。可能氣體的常見清單包含:僅有氮、僅有氨、氮/氨混合物、僅有氬、僅有氦、氬/氦混合物、及以上者的組合。亦可使用其他的稀有氣體。在一些實施例中,即使在操作205中使用氮來形成矽氮化物,操作207仍可涉及後置處理期間對氮電漿的曝露,以降低氫含量,並且使膜層緻密化。吾人相信,對具有惰性氣體之電漿的較長持續時間的週期性曝露降低沉積膜的氫含量。膜層的上部區域可具有降低的氫含量。例如,在一些實施例中,膜層之約25Å至約30Å的頂部可具有降低的氫含量。
在操作209中,可選地,可重複操作203~207,使得含矽膜係在諸多循環中沉積,每一循環包含脈衝電漿PECVD及後置處理。可執行重複的循環,以改善所沉積之含矽膜的品質。
圖3為例示性時序圖,其顯示根據某些揭露實施例之方法的範例。製程300包含脈衝電漿PECVD及週期性電漿後置處理的兩個循環:沉積階段303A、週期性電漿後置處理階段315A、沉積階段303B、週期性電漿後置處理階段315B。在此例示性製程300中,沉積階段303包含利用如上相關於圖2所述之脈衝電漿PECVD之矽氮化物膜的沉積。用於例示性製程300的含矽前驅物係二矽烷,其係在沉積階段303A及303B的整個過程中連續地流動。用於例示性製程300的反應物係氮,其係在沉積階段303A及303B的整個過程中連續地流動。如沉積階段303A及303B中所示,電漿係脈衝化成使得電漿係以短脈衝進行開啟及關閉。惰性氣體係連續地流動,且充當以下二者:載體氣體、及在週期性電漿後置處理階段315A及315B期間用以激發電漿之惰性氣體。吾人將理解,在一些實施例中,後置處理階段可涉及流動不同的後置處理氣體,其係與所使用的載體氣體不相同。
在週期性電漿後置處理階段315A及315B的每一者中,惰性氣體持續流動,且電漿係開啟的,並在比沉積階段期間之脈衝更長的持續時間期間維持為開啟狀態。儘管例示性製程300僅顯示週期性電漿後置處理階段315A及315B期間的單一「開啟」階段,但吾人將理解,在一些實施例中,在後置處理階段期間,可使週期性電漿在更長的持續時間期間(例如約10秒與約50秒之間)脈衝化二或更多次。在週期性電漿後置處理階段315A及315B期間,二矽烷及氮氣的流動係關閉的。
本文中所述實施例(如以上相關於圖2及3所述者)可用以沉積用於諸多應用的保形含矽膜。利用某些揭露實施例所沉積之膜層的保形度取決於該膜層所沉積之範圍內的特徵部的縱橫比。例如,就高縱橫比特徵部而言,例如就具有至少3:1、或約3:1與約60:1之間、或約3:1與約40:1之間之縱橫比的特徵部而言,所揭露的實施例可用以沉積具有約40%至約50%之間之階梯覆蓋率的膜層,而就較低縱橫比特徵部而言,例如就具有低於2:1之縱橫比的特徵部而言,所揭露的實施例可用以沉積具有約75%與約80%之間之階梯覆蓋率的膜層。
利用某些揭露實施例所沉積之膜層導致產生高品質、保形、且在一些實施例中氣密的膜層。在一些實施例中,利用某些揭露實施例所沉積的矽氮化物膜可用作例如MRAM元件之磁性元件範圍內的包覆層。用以沉積高品質包覆層的相關方法亦描述於2016年5月6日申請且名為「METHODS OF ENCAPSULATION」的美國臨時專利申請案第62/333,054號、及2016年9月28申請且名為「METHODS OF ENCAPSULATION」的美國專利申請案第15/279,310號(代理人案號LAMRP261/3921-2US)中,以上申請案係整體且針對所有目的併入於此,以供參考。
利用某些揭露實施例所沉積之含矽膜的品質、濕蝕刻速率、密度、及氫含量可取決於用來沉積膜層之電漿的類型。例如,在一些實施例中,可使用雙頻率電漿。在其他實施例中,可使用單頻率電漿。
矽氧化物膜可利用某些揭露實施例沉積。利用某些揭露實施例沉積的矽氧化物膜可具有至少約2.1g/cm3
、或約2.1g/cm3
與約2.3g/cm3
之間的氧化物密度。在一些實施例中,利用某些揭露實施例沉積的矽氧化物膜可具有約2%與約15%之間的氫含量。在一些實施例中,當利用某些揭露實施例所沉積的矽氧化物膜曝露至100:1的氫氟酸(HF)溶液時,其濕蝕刻速率可低如約50Å/分鐘。
利用雙頻率電漿沉積的矽氮化物可呈現諸多特性。例如,利用雙頻率電漿所沉積之矽氮化物膜在稀釋氫氟酸(100:1的HF)中的濕蝕刻速率可低於約每分鐘20Å。
利用單頻率電漿所沉積之矽氮化物膜在稀釋氫氟酸(100:1的HF)中的濕蝕刻速率可低於約每分鐘10Å。
利用雙頻率電漿所沉積之矽氮化物膜的密度可為至少約2.6g/cm3
。利用單頻率電漿所沉積之矽氮化物膜的密度可為至少約2.1g/cm3
。
利用雙頻率電漿所沉積之矽氮化物膜的氫含量可低於約15a.u.。利用單頻率電漿所沉積之矽氮化物膜的氫含量可低於約26a.u.。 設備
本文中所提供之沉積技術可在電漿加強化學氣相沉積(PECVD)腔室、或保形膜沉積(CFD, conformal film deposition)腔室、或在一些實施例中於原子層沉積(ALD, atomic layer deposition)腔室中實施。如此之腔室可呈現許多形式,且可為包含一或更多腔室或反應器(有時包含複數工作站)之設備的一部分,該一或更多腔室或反應器係例如相關於圖5而進一步詳細描述者,其可各容納一或更多基板或晶圓,且可用以執行諸多基板處理操作。一或更多腔室可將基板維持於(複數)定義位置中(在該位置中有或無例如旋轉、振動、或其他攪動的移動)。在一實施例中,在製程期間,經受膜沉積的基板可從一工作站被轉移至一腔室內的另一工作站(或從一腔室被轉移至一設備內的另一腔室)。在其他實施例中,基板可在設備內被轉移往返於腔室之間,以執行不同的操作,例如UV曝露操作、蝕刻操作、或微影操作。完整的膜層沉積、或任何沉積步驟之總膜層厚度的任何部分可完全發生於單一工作站。進行處理時,每一基板可藉由基座、基板卡盤、及/或其他基板固持設備而固持定位。就基板受加熱的某些操作而言,設備可包含例如加熱板的加熱器。
圖4提供簡單的方塊圖,其描繪設置成實施本文中所述方法的諸多反應器元件。設備400可用以沉積如本文中所述的覆層。如顯示,設備400包含製程腔室424,該製程腔室424容納反應器的其他元件、且用以容納電漿(該電漿係藉由電容放電型系統產生),該製程腔室424包含與接地加熱器組塊420結合工作的噴淋頭414。高頻率(HF)射頻(RF)產生器404及低頻率(LF) RF產生器402可連接至匹配網路406及噴淋頭414。由匹配網路406所供應的功率及頻率可足夠用來從供應至製程腔室424的製程氣體產生電漿。例如,匹配網路406可提供100W至1000W的功率。HF RF元件通常可為1 MHz至100 MHz之間,例如13.56 MHz。在具有LF元件的操作中,LF元件可低於約1 MHz,例如100 kHz。在一些實施例中,就一工作循環而言,電漿可脈衝化成約300 Hz與約1.5 kHz之間的脈衝頻率,例如約500 Hz。控制器428可用以將每一電漿脈衝的持續時間設定至約0.01ms至約5ms的持續時間,例如約0.05ms與約1.9ms之間。在一些實施例中,可開啟電漿,以進行週期性電漿處理,作為如本文所述的後置處理。就週期性電漿處理而言,電漿可開啟持續約10秒與約50秒之間的持續時間。
在設備400內,基座418可支撐基板416。基座418可包含卡盤、叉部、或升降銷(未顯示),以在沉積及/或後置處理操作的期間及之間固持及轉移基板416。卡盤可為靜電卡盤、機械卡盤、或可用於產業及/或研究的諸多其他類型的卡盤。
諸多製程氣體可經由入口412引入。例如,氣體可包括含IV族元素前驅物,例如含矽前驅物、或含鍺前驅物。氣體可包含反應物,例如含氮反應物(如氮或氨)、含碳反應物、含氧反應物、含氧及碳反應物、及其組合。在一些實施例中,亦可流動惰性氣體或載體氣體。例示性惰性氣體包含氬、氦、氮、及氨。在一些實施例中,載體氣體係在製程氣體傳送至製程腔室424之前轉向。
複數的來源氣體線路410係連接至歧管408。氣體可進行或不進行預混合。可採用適當的閥及質流控制機構,以確保在製程的沉積及後置處理階段期間,傳送正確的製程氣體。在(複數)化學前驅物以液體形式進行傳送的情形中,可採用液體流動控制機構。然後,在抵達製程腔室424之前,如此之液體可在傳輸期間、於歧管中進行汽化,並與製程氣體混合,該歧管係被加熱至高於以液體形式供應之化學前驅物的蒸發點。
例如含矽前驅物或含氮氣體的製程氣體可經由出口422離開製程腔室424。例如一段或二段式機械乾燥泵及/或渦輪分子泵的真空泵440可用以將製程氣體從製程腔室424中牽引出來,並且藉由使用例如節流閥或擺閥的閉路控制流動限制裝置來維持製程腔室424內適當低的壓力。
設備400包含控制器428,該控制器428可包含一或更多記憶體裝置、一或更多大容量儲存裝置、及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接部、步進器馬達控制器板等。設備400包含用來控制設備400之製程條件及硬體狀態的系統控制器428。控制器428可配置成針對某些持續時間以不同流速傳送某些製程氣體,且可配置成控制電漿頻率、電漿脈衝頻率、電漿功率、及如本文中所述的其他製程條件。控制器428可配置成根據一些實施例而開啟及關閉電漿。控制器428可具有以下相關於圖5所述之控制器550的任何特性。
如上所述,如本文中所討論之沉積及後置處理技術可在多站式、或單站式工具上實施。圖5為如此之工具的範例的示意圖。在特定實施例中,可使用具有4站式沉積架構的300mm Lam Vector™工具、或具有6站式沉積架構的200mm Sequel™工具。在一些實施例中,可使用用以處理450mm基板的工具。在諸多實施例中,基板可在每一沉積及/或後置沉積電漿處理之後進行定位、或者(在蝕刻腔室或工作站亦為同一工具之一部分的情形中)可在複數蝕刻步驟之後進行定位,或者,複數的沉積及處理可在定位基板之前、於單一工作站處進行。
圖5顯示具有入站裝載室502及出站裝載室504之多站式製程工具500的實施例的示意圖,該入站裝載室502及出站裝載室504的任一者或兩者可包含遠端電漿源。在大氣壓力下,自動機器506係配置成將晶圓從(透過傳送盒508所裝載之)卡匣經由大氣埠510移入入站裝載室502。藉由自動機器506將晶圓定位於入站裝載室502中的基座512上、關閉大氣埠510、以及抽空裝載室502。在入站裝載室502包含遠端電漿源的情形中,晶圓可在被引入處理腔室514中之前在入站裝載室502中曝露至遠端電漿處理。進一步講,晶圓亦可在入站裝載室502中受到加熱,從而例如移除濕氣及吸附氣體。在一些實施例中,晶圓可在入站裝載室502中經受如本文他處所述的「溫度浸泡」。
通往處理腔室514的腔室傳輸埠516係打開的,且另一自動機器(未顯示)將晶圓定位於反應器中之第一工作站(其係顯示於反應器中)的基座上,以進行處理。儘管圖5中所描繪之實施例包含裝載室,但吾人將察知,在一些實施例中,可設置成晶圓直接進入製程工作站中。
所描繪之處理腔室514包含四個製程工作站,其在圖5所示的實施例中從1至4進行編號。每一工作站具有受加熱的基座(顯示於工作站1之518處)及氣體線路入口。吾人將察知,在一些實施例中,每一製程工作站可具有不同或複數的目的。例如,在一些實施例中,製程工作站可在脈衝電漿PECVD沉積模式、及週期性電漿後置處理製程模式之間切換。在一些實施例中,製程工作站可在化學氣相沉積(CVD)製程模式、及電漿加強化學氣相沉積(PECVD)製程模式之間切換。額外地或替代地,在一些實施例中,處理腔室514可包含一或更多匹配成對的後置電漿PECVD製程工作站。儘管所描繪之處理腔室514包含四個工作站,但吾人將理解,根據某些揭露實施例的處理腔室可具有任何適當數目的工作站。例如,在一些實施例中,處理腔室可具有五個或更多工作站,而在其他實施例中,處理腔室可具有三個或更少的工作站。
圖5描繪用以在處理腔室514內轉移晶圓之晶圓處置系統590的實施例。在一些實施例中,晶圓處置系統590可在諸多製程工作站之間、及/或在製程工作站與裝載室之間轉移晶圓。吾人將察知,任何適當的晶圓處置系統皆可加以採用。非限制性範例包含晶圓旋轉架及晶圓處置自動機器。圖5亦描繪用來控制製程工具500之製程條件及硬體狀態的系統控制器550的實施例。系統控制器550可包含一或更多記憶體裝置556、一或更多大容量儲存裝置554、及一或更多處理器552。一或更多處理器552可包含CPU或電腦、類比及/或數位輸入/輸出連接部、步進器馬達控制器板等。
在一些實施例中,系統控制器550控制製程工具500的所有活動。系統控制器550執行儲存於大容量儲存裝置554中、裝載至記憶體裝置556中、以及執行於處理器552上的系統控制軟體558。或者,可將控制邏輯硬編碼於控制器550中。針對該等目的可使用特定用途積體電路、可編程邏輯裝置(如場域可編程閘陣列,或稱為FPGA(field-programmable gate array))、及類似者。在以下討論內容中,在使用「軟體」或「編碼」的任何情形中,可適當地使用功能上可比較的硬編碼邏輯。系統控制軟體558可包含用以控制以下者的指令:時序、氣體的混合物、氣體流量、腔室及/或工作站的壓力、腔室及/或工作站的溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、卡盤及/或托座位置、電漿脈衝頻率、電漿曝露持續時間、及藉由製程工具500所執行之特定製程的其他參數。系統控制軟體558可以任何適當的方式加以配置。舉例而言,可撰寫諸多的製程工具元件子常式或控制目標,以控制實行諸多製程工具製程所必要之製程工具元件的操作。系統控制軟體558可以任何適當的電腦可讀程式語言而編碼。
在一些實施例中,系統控制軟體558可包含用以控制以上所述諸多參數的輸入/輸出控制(IOC, input/output control)序列指令。在一些實施例中,可採用儲存於與系統控制器550相關之大容量儲存裝置554及/或記憶體裝置556上的其他電腦軟體及/或程式。針對此目的之程式或程式之部分的範例包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包含用於製程工具元件的程式編碼,該製程工具元件係用以將基板裝載至基座上,且係用以控制基板與製程工具之其他部件之間的間隔。
製程氣體控制程式可包含用以控制氣體組成(例如,如本文中所述的含矽氣體、含鍺氣體、含氮氣體、含碳氣體、含氧及碳氣體、及含碳氣體)及流速的編碼、及可選地包含用以在沉積之前使氣體流入一或更多製程工作站以穩定該製程工作站中之壓力的編碼。壓力控制程式可包含用以藉由調節以下者而控制製程工作站中之壓力的編碼:如製程工作站之排氣系統中的節流閥、進入製程工作站的氣體流動等。
加熱器控制程式可包含用以控制通往加熱單元之電流的編碼,該加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱轉移氣體(例如,氦)至基板的傳送。
電漿控制程式可包含用以根據本文中之實施例來設定RF功率位準的編碼,該RF功率位準係施加至一或更多製程工作站中的製程電極。
壓力控制程式可包含用以根據本文中之實施例來維持反應腔室中之壓力的編碼。
在一些實施例中,可具有與系統控制器550相關的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示、以及使用者輸入裝置(例如指示裝置、鍵盤、觸摸螢幕、麥克風等)。
在一些實施例中,由系統控制器550調整的參數可與製程條件有關。非限制性範例包含製程氣體組成及流速、溫度、壓力、電漿條件(例如,RF偏壓功率位準)等。該等參數可以配方的形式而提供給使用者,其可利用使用者介面而輸入。
用以監控製程的訊號可從諸多製程工具感測器、藉由系統控制器550之類比及/或數位輸入連接部而提供。用以控制製程的訊號可輸出於製程工具500的類比及數位輸出連接部上。可受監控之製程工具感測器的非限制性範例包含質流控制器、壓力感測器(例如,壓力計)、熱電偶等。適當編程的反饋及控制演算法可與來自該等感測器的資料一起用來維持製程條件。
系統控制器550可提供用以實施上述沉積製程的程式指令。程式指令可控制諸多製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、電漿脈衝頻率、電漿曝露持續時間、UV曝露持續時間等。指令可控制參數,從而根據本文中所述的諸多實施例進行膜層堆疊的原位沉積。
系統控制器550通常將包含一或更多記憶體裝置及一或更多用以執行指令的處理器,使得設備將根據所揭露的實施例來執行方法。機器可讀媒體可耦接至系統控制器550,該機器可讀媒體包含用以根據所揭露實施例來控制製程操作的指令
在一些實施例中,控制器550係系統的一部分,該系統可為以上描述範例的一部分。如此之系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制該等系統的運作。該電子設備可稱為「控制器」,其可控制系統或複數系統的諸多元件或子部件。取決於處理要求及/或系統類型,控制器可程式設定成控制本文中所揭露製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、電漿脈衝頻率設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器550可定義為具有諸多積體電路、邏輯、記憶體、及/或軟體的電子設備,其用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測、以及類似者。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特定用途積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)針對實行特定的製程(在半導體晶圓上,或針對半導體晶圓)而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:覆層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
在一些實施例中,控制器550可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些範例中,遠端電腦(例如,伺服器)可經由網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以輸入參數及/或設定、或對參數及/或設定進行程式設定的使用者介面,然後將該參數及/或設定自遠端電腦傳達至系統。在一些範例中,控制器550以資料的形式接收指令,該指令為即將於一或更多操作期間執行之處理步驟的每一者指定參數。吾人應理解,參數可特定地針對待執行之製程的類型、以及控制器與之接合或加以控制之工具的類型。因此如上所述,控制器550可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,本文中所述製程及控制)而運作的一或更多分離控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺層級、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者結合以控制腔室上的製程。
在一些實施例中,可提供用以執行本文中所述技術的設備。適當的設備可包含用以執行諸多製程操作的硬體、及具有用以根據所揭露實施例控制製程操作之指令的系統控制器550。系統控制器550通常將包含一或更多記憶體裝置及一或更多處理器,其係與諸多製程控制裝置(如閥、RF產生器、基板處置系統等)通訊連接,且係配置成執行指令,使得設備將根據所揭露的實施例執行技術(例如,如圖2之操作中所提供的技術)。機器可讀媒體可耦接至系統控制器550,該機器可讀媒體包含用以根據本揭露內容控制製程操作的指令。如本文所述,控制器550可與例如質流控制器、閥、RF產生器、真空泵等的諸多硬體裝置通訊連接,從而輔助控制與沉積操作相關聯的諸多製程參數。
在一些實施例中,系統控制器550可控制製程工具500的所有活動。系統控制器550可執行儲存於大容量儲存裝置中、裝載至記憶體裝置中、以及執行於處理器上的系統控制軟體。系統控制軟體可包含:用以控制氣體流動之時序、基板移動、RF產生器啟用等的指令;以及用以控制以下者的指令:氣體之混合物、腔室及/或工作站之壓力、腔室及/或工作站之溫度、基板溫度、目標功率位準、RF功率位準、基板基座、卡盤、及/或托座位置、及藉由製程工具500所執行之特定製程的其他參數。例如,軟體可包含用以控制以下者的指令或編碼:含矽前驅物之流速、反應物之流速、電漿頻率、電漿脈衝頻率、電漿功率、及以上所述流動化學成分之每一者的前驅物及反應物曝露時間。系統控制軟體可以任何適當的方式加以配置。例如,可撰寫諸多的製程工具元件子常式或控制目標,以控制實行諸多製程工具製程所必要之製程工具元件的操作。系統控制軟體可以任何適當的電腦可讀程式語言而編碼。
系統控制器550通常可包含一或更多記憶體裝置556及用以執行指令的一或更多處理器552,使得設備將根據所揭露的實施例執行技術。機器可讀媒體可耦接至系統控制器550,該機器可讀媒體包含用以根據所揭露實施例來控制製程操作的指令。
在無限制的情況下,例示性系統可包含以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、以及可在半導體晶圓的加工及/或製造中相關聯或使用的任何其他半導體處理系統。
如以上所提及,取決於即將藉由工具執行之(複數)製程步驟,控制器可與半導體製造工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料運輸中使用之工具,該材料運輸中使用之工具攜帶晶圓的容器往返工具位置及/或裝載埠。
用以執行本文中所揭露之方法的適當設備係於下列者中進一步加以討論及描述:2011年4月11日申請、且2014年5月20日公告、且名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」的美國專利第8,728,956號;以及2011年4月11日申請、且名為「SILICON NITRIDE FILMS AND METHODS」的美國專利申請案第13/084,305號,其每一者係整體併入於此。
本文中所述的設備及製程可結合微影圖案化工具或製程而加以使用,例如,用於半導體元件、顯示器、LED、光電板、及類似物的製造及加工。儘管並非必然,但通常,如此之工具/製程將於共同的製造設施中一起使用或進行。膜的微影圖案化通常包含以下操作的一些或全部者,每一操作係利用數個可能的工具而達成:(1)使用旋塗或噴塗工具將光阻塗佈至工件(亦即,基板)上;(2)使用熱板、或爐、或UV固化工具來固化光阻;(3)利用如晶圓步進器的工具將光阻曝露至可見光、或UV、或X射線光;(4)使光阻顯影,以選擇性地移除光阻,並且從而使用如濕檯的工具而使其圖案化;(5)藉由使用乾式、或電漿輔助式蝕刻工具而將光阻圖案轉移至下層膜、或工件中;以及(6)使用如RF或微波電漿光阻剝離器的工具移除光阻。 實驗 實驗1
進行實驗,該實驗涉及針對具有諸多縱橫比的圖案化基板,利用連續曝露至電漿、及利用脈衝電漿沉積矽氧化物。將第一組基板曝露至二矽烷及氧,並且在13.56 MHz的頻率下連續地激發電漿。將第二組基板連續地曝露至二矽烷及氧,而電漿係在13.56 MHz的電漿頻率下,脈衝化成以0.02ms的脈衝持續時間進行開啟及關閉。針對所沉積之膜量測階梯覆蓋率,且結果係描繪於圖6A中的圖表中。
如圖6A中所示,一般來講,利用連續電漿所沉積之矽氧化物膜的階梯覆蓋率低於利用脈衝電漿所沉積之矽氧化物膜的階梯覆蓋率。圈起資料點601,其代表具有1之縱橫比及大於60%之階梯覆蓋率之特徵部的基板。具有所沉積之矽氧化物膜的該基板的影像係顯示於圖6B中。該等結構建議,相較於使用連續電漿,脈衝電漿產生更高階梯覆蓋率的膜層。 實驗2
進行實驗,該實驗涉及針對具有諸多縱橫比的圖案化基板,利用連續曝露至電漿、及利用脈衝電漿沉積矽氮化物。將第一組基板曝露至二矽烷、及氮、及氨,並且在13.56 MHz的頻率下連續地激發電漿。將第二組基板連續地曝露至二矽烷及氮,而電漿係在13.56 MHz的電漿頻率下,脈衝化成具有電漿開啟階段(0.02ms)及關閉階段(1.98ms)。針對所沉積之膜層量測階梯覆蓋率,且結果係描繪於圖7A中的圖表中。
如圖7A中所示,利用連續電漿所沉積之矽氮化物膜的階梯覆蓋率一般係低於利用脈衝電漿所沉積之矽氮化物膜的階梯覆蓋率。圈起資料點602,其代表具有1之縱橫比、及約為100%之階梯覆蓋率之特徵部的基板。具有所沉積之矽氮化物膜的該基板的影像係顯示於圖7B中。該等結構建議,相較於使用連續電漿,脈衝電漿產生更高階梯覆蓋率的矽氮化物膜。 實驗3
進行實驗,該實驗涉及將利用連續電漿沉積的矽氮化物膜、及利用脈衝電漿沉積的矽氮化物膜曝露至稀釋氫氟酸,以判定濕蝕刻速率比。
一矽氮化物膜係在400°C下,藉由連續地流動SiH4
、NH3
、及N2
、同時在13.56 MHz的電漿頻率下連續地激發電漿而沉積。將該膜層曝露至稀釋氫氟酸,以判定相對於熱氧化物的濕蝕刻速率比。結果係以圖8的實心柱描繪。
一矽氮化物膜係在400°C下,藉由連續地流動SiH4
、NH3
、及N2
、同時在13.56 MHz的電漿頻率下脈衝地激發電漿而沉積。脈衝持續時間係設定成具有0.2ms的電漿開啟階段及1.8ms的電漿關閉階段。一單獨的矽氮化物膜係藉由連續地流動SiH4
、NH3
、及N2
、同時在13.56 MHz的電漿脈衝頻率下脈衝地激發電漿而沉積。脈衝(RF開啟0.02ms、RF關閉1.98ms)。將該等膜層兩者皆曝露至稀釋氫氟酸,以判定相對於熱氧化物的濕蝕刻速率比。結果係以圖8中的白色柱描繪。如顯示,利用脈衝電漿PECVD所沉積的膜層比利用連續習知PECVD所沉積的膜層產生較低的濕蝕刻速率。 實驗4
進行實驗,該實驗涉及判定以下者的氫含量、濕蝕刻速率、及密度:利用具有後置處理之脈衝電漿PECVD所沉積的矽氮化物膜、作為比較之僅利用脈衝電漿PECVD所沉積的膜、以及習知PECVD所沉積的膜。
就習知PECVD而言,將基板曝露至矽烷、氨、及氮的連續流動、以及連續的電漿,以形成200Å厚的矽氮化物層。
就脈衝電漿PECVD而言,將基板曝露至矽烷、氨、及氮的連續流動,且激發電漿,並利用脈衝(RF開啟0.02ms /關閉1.98ms)使該電漿脈衝化,持續複數循環,直到沉積200Å厚的SiN層。
就具有後置處理的脈衝電漿PECVD而言,將基板曝露至矽烷、氨、及氮的連續流動,且激發電漿,並利用脈衝(RF開啟0.02 ms/RF關閉1.98 ms) 使該電漿脈衝化,持續複數循環,直到沉積25Å~30Å厚的覆層。該25Å~30Å厚的SiN層隨後被曝露至無矽烷、氮、或氨的氬電漿,維持10~60秒的持續時間。重複沉積/後置處理循環,直至總的膜厚度為200Å。
如以下表1中所示的一組製程條件A係用於後置處理,該後置處理採用使用HF及LF二者的雙頻率電漿。氫含量、濕蝕刻速率、及密度的結果分別描繪於圖9A、9B、及9C中。 表1 製程條件 A
如以下表2中所示的一組製程條件B係用於後置處理,該後置處理採用單頻率電漿。氫含量、濕蝕刻速率、及密度的結果分別描繪於圖9A、9B、及9C中。 表2 製程條件 B
圖9A顯示總的氫含量。如顯示,就製程條件A、及製程條件B二者的試驗而言,執行具有後置處理的脈衝電漿皆產生最少量的氫含量。
圖9B顯示在200:1氫氟酸中的濕蝕刻速率。如顯示,就單頻率電漿的試驗而言,僅脈衝電漿產生實質上降低的濕蝕刻速率,且就製程條件A、及製程條件B二者的試驗而言,執行具有後置處理的脈衝電漿皆產生最低的濕蝕刻速率。
圖9C顯示膜層的密度。如顯示,就二試驗而言,執行具有後置處理的脈衝電漿皆產生最高的密度。 實驗5
進行實驗,該實驗涉及判定利用某些揭露實施例所沉積之諸多矽氮化物膜的氫含量。
將第一基板曝露至連續電漿中的矽烷及氨,以利用第一組製程條件沉積1000Å厚的矽氮化物膜。不執行後置處理操作。將第二基板曝露至連續電漿中的矽烷及氨,以利用第二組製程條件沉積1000Å厚的矽氮化物膜。不執行後置處理操作。將第三基板曝露至連續電漿中的矽烷及氨,以利用第三組製程條件沉積1000Å厚的矽氮化物膜。不執行後置處理。
就該三個基板的每一者判定氫含量的多少,並獲得FTIR光譜。FTIR光譜係顯示於圖10A、10B、及10C中,其中,虛線代表未進行後置處理的基板。
然後將該三個基板曝露至基於氬及氮氣的惰性電漿中進行後置處理。針對受到後置處理之該三個基板的每一者判定氫含量的多少。亦獲得FTIR光譜。受到後置處理之該等基板的FTIR光譜係顯示於圖10A、10B、及10C中,其中實線代表受到後置處理的該等基板。
該等實驗之每一者的結果氫含量、及所使用的製程條件係顯示於表3中。 表3 製程條件及氫含量
該等結果建議,在當沉積矽氮化物膜時使基板受到後置處理的情形中,氫含量係降低的。該等結果亦建議,相對於N-H鍵,後置處理製程主要地作用於Si-H鍵。當基板曝露至後置電漿處理時,就全部三個基板而言,FTIR光譜亦皆顯示較高的吸收峰,從而建議受到後置處理的膜層具有較高的密度。 結論
雖然前述實施例已針對清楚理解的目的而相當詳細地加以描述,但吾人將明白,某些改變與修改可在隨附之申請專利範圍的範疇內實施。吾人應注意,有許多替代的方式來實施本實施例的製程、系統、及設備。據此,本實施例應考量成說明性且非限制性,且實施例不應受限於本文中所給出的細節。
101‧‧‧線
103‧‧‧線
105‧‧‧線
201‧‧‧操作
203‧‧‧操作
205‧‧‧操作
207‧‧‧操作
209‧‧‧操作
300‧‧‧製程
400‧‧‧設備
402‧‧‧低頻率RF產生器
404‧‧‧高頻率RF產生器
406‧‧‧匹配網路
408‧‧‧歧管
410‧‧‧線路
412‧‧‧入口
414‧‧‧噴淋頭
416‧‧‧基板
418‧‧‧基座
420‧‧‧加熱器組塊
422‧‧‧出口
424‧‧‧製程腔室
428‧‧‧控制器
500‧‧‧製程工具
502‧‧‧入站裝載室
504‧‧‧出站裝載室
506‧‧‧自動機器
508‧‧‧傳送盒
510‧‧‧大氣埠
512‧‧‧基座
514‧‧‧處理腔室
516‧‧‧腔室傳輸埠
518‧‧‧基座
550‧‧‧控制器
552‧‧‧處理器
554‧‧‧大容量儲存裝置
556‧‧‧記憶體裝置
558‧‧‧系統控制軟體
590‧‧‧晶圓處置系統
601‧‧‧資料點
602‧‧‧資料點
303A‧‧‧沉積階段
303B‧‧‧沉積階段
315A‧‧‧週期性電漿後置處理階段
315B‧‧‧週期性電漿後置處理階段
103‧‧‧線
105‧‧‧線
201‧‧‧操作
203‧‧‧操作
205‧‧‧操作
207‧‧‧操作
209‧‧‧操作
300‧‧‧製程
400‧‧‧設備
402‧‧‧低頻率RF產生器
404‧‧‧高頻率RF產生器
406‧‧‧匹配網路
408‧‧‧歧管
410‧‧‧線路
412‧‧‧入口
414‧‧‧噴淋頭
416‧‧‧基板
418‧‧‧基座
420‧‧‧加熱器組塊
422‧‧‧出口
424‧‧‧製程腔室
428‧‧‧控制器
500‧‧‧製程工具
502‧‧‧入站裝載室
504‧‧‧出站裝載室
506‧‧‧自動機器
508‧‧‧傳送盒
510‧‧‧大氣埠
512‧‧‧基座
514‧‧‧處理腔室
516‧‧‧腔室傳輸埠
518‧‧‧基座
550‧‧‧控制器
552‧‧‧處理器
554‧‧‧大容量儲存裝置
556‧‧‧記憶體裝置
558‧‧‧系統控制軟體
590‧‧‧晶圓處置系統
601‧‧‧資料點
602‧‧‧資料點
303A‧‧‧沉積階段
303B‧‧‧沉積階段
315A‧‧‧週期性電漿後置處理階段
315B‧‧‧週期性電漿後置處理階段
圖1為顯示電漿脈衝期間及之後在製程腔室中所產生且保留之物種的相對量之圖表。
圖2為處理流程圖,其描繪根據某些所揭實施例之方法的複數操作。
圖3為時序圖,其顯示根據某些所揭實施例之方法的範例。
圖4為用以執行某些所揭實施例之例示性製程腔室的示意圖。
圖5為用以執行某些所揭實施例之例示性製程工具的示意圖。
圖6A為在一實驗中,沉積於具有不同縱橫比之特徵部的基板中之矽氧化物的階梯覆蓋率的圖表。
圖6B為一實驗中之基板的圖像,該基板具有根據某些所揭實施例所沉積的矽氧化物。
圖7A為在一實驗中,沉積於具有不同縱橫比之特徵部的基板中之矽氮化物的階梯覆蓋率的圖表。
圖7B為一實驗中之基板的圖像,該基板具有根據某些所揭實施例所沉積的矽氮化物。
圖8為利用連續電漿、及脈衝電漿所沉積之矽氮化物之濕蝕刻速率的圖表。
圖9A為實驗資料的圖表,其顯示利用連續電漿PECVD、脈衝電漿PECVD、及具有後置處理之脈衝電漿PECVD所沉積之矽氮化物的氫含量。
圖9B為實驗資料的圖表,其顯示利用連續電漿PECVD、脈衝電漿PECVD、及具有後置處理之脈衝電漿PECVD所沉積之矽氮化物的濕蝕刻速率。
圖9C為實驗資料的圖表,其顯示利用連續電漿PECVD、脈衝電漿PECVD、及具有後置處理之脈衝電漿PECVD所沉積之矽氮化物的密度。
圖10A~10C係在諸多實驗中所沉積之矽氮化物膜的FTIR光譜。
300‧‧‧製程
303A‧‧‧沉積階段
303B‧‧‧沉積階段
315A‧‧‧週期性電漿後置處理階段
315B‧‧‧週期性電漿後置處理階段
Claims (22)
- 一種處理基板的方法,該基板係容納於製程腔室中,該方法包含: 在低於約400°C的基板溫度下,引入一含矽前驅物及一反應物至該製程腔室; 當引入該含矽前驅物及該反應物時,激發並脈衝化第一電漿,以產生用以在該基板的範圍內形成一含矽膜的自由基物種;以及 在形成該含矽膜之後,執行一後置處理操作,該後置處理操作包含: 停止該含矽前驅物的流動及該反應物的流動; 引入一後置處理氣體至該製程腔室中;以及 激發一第二電漿,以處理該含矽膜, 其中,該第一電漿之脈衝期間之每一脈衝的持續時間係介於約0.02ms 與約5ms之間。
- 如申請專利範圍第1項之處理基板的方法,其中使該第一電漿脈衝500與2000次之間。
- 如申請專利範圍第1項之處理基板的方法,其中該後置處理操作係在該含矽膜形成至約20Å與約50Å之間的厚度之後執行。
- 如申請專利範圍第1項之處理基板的方法,其中該第二電漿係激發維持約10秒與約60秒之間的一持續時間。
- 如申請專利範圍第1項之處理基板的方法,其中該含矽膜係矽氧化物,且經後置處理的含矽膜在100:1的氫氟酸溶液中具有約50Å/分鐘的濕蝕刻速率。
- 如申請專利範圍第1項之處理基板的方法,其中該含矽膜係矽氮化物,且經後置處理的含矽膜在100:1的氫氟酸溶液中具有低於約20Å/分鐘的濕蝕刻速率。
- 如申請專利範圍第1項之處理基板的方法,其中該含矽膜係選自由矽氮化物、矽碳化物、及矽氧化物組成的群組。
- 如申請專利範圍第1項之處理基板的方法,其中該含矽膜係在約250°C與約350°C之間的溫度下沉積。
- 如申請專利範圍第1項之處理基板的方法,其中該後置處理操作係在約250°C與約350°C之間的溫度下執行。
- 如申請專利範圍第1項之處理基板的方法,其中該製程腔室具有介於約2 Torr與約10 Torr之間的腔室壓力。
- 如申請專利範圍第1至10項之任一項之處理基板的方法,其中該含矽膜係沉積於一磁性元件的範圍內。
- 如申請專利範圍第1至10項之任一項之處理基板的方法,其中該第一及第二電漿係原位地產生。
- 如申請專利範圍第1至10項之任一項之處理基板的方法,其中該第一及第二電漿係利用一雙頻率電漿產生器而激發。
- 如申請專利範圍第13項之處理基板的方法,其中所沉積之含矽膜係矽氮化物,且其中經後置處理之矽氮化物膜的氫含量低於約15a.u.。
- 如申請專利範圍第13項之處理基板的方法,其中該含矽膜係矽氮化物,且其中該矽氮化物的密度至少為約2.6g/cm3 。
- 如申請專利範圍第1至10項之任一項之處理基板的方法,其中該第一及第二電漿係利用一單頻率電漿產生器而激發。
- 如申請專利範圍第16項之處理基板的方法,其中所沉積之含矽膜係矽氮化物,且其中經後置處理之矽氮化物膜的氫含量低於約26a.u.。
- 如申請專利範圍第16項之處理基板的方法,其中該含矽膜係矽氮化物,且其中該矽氮化物的密度至少為約2.1g/cm3 。
- 如申請專利範圍第1至10項之任一項之處理基板的方法,其中該含矽膜係作為一磁性元件範圍內的一包覆層而沉積。
- 如申請專利範圍第1至10項之任一項之處理基板的方法,其中執行該後置處理操作,以從該含矽膜移除氫。
- 一種用以處理半導體基板的設備,該半導體基板包含半導體材料,該設備包含: 一或更多製程腔室,其中至少一製程腔室包含用以加熱該半導體基板的一受加熱基座; 一電漿產生器; 一或更多氣體入口,其通往該一或更多製程腔室,且與流動控制硬體相關聯;以及 一控制器,其具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係互相通訊連接,該至少一處理器至少與該流動控制硬體操作性連接,且該記憶體儲存用以控制該至少一處理器的電腦可執行指令,以至少藉由以下者而控制該流動控制硬體: (i)將基座溫度設定至低於約400°C的溫度; (ii)引入一含矽的沉積前驅物、及一反應物至該一或更多製程腔室; (iii)當該沉積前驅物及反應物被引入至該一或更多製程腔室時,將一第一電漿激發成脈衝,以形成一含矽膜;以及 (iv) 週期性地停止該含矽之沉積前驅物及該反應物的流動、以及引入一惰性氣體至該一或更多製程腔室、以及激發第二電漿,以處理所沉積的含矽膜。
- 如申請專利範圍第21項之用以處理半導體基板的設備,其中該記憶體更儲存電腦可執行指令,其係用以將開啟該含矽之沉積前驅物及該反應物之流動的持續時間控制於約0.02 ms與約5 ms之間的一持續時間。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662333054P | 2016-05-06 | 2016-05-06 | |
US62/333,054 | 2016-05-06 | ||
US15/279,314 US20170323785A1 (en) | 2016-05-06 | 2016-09-28 | Method to deposit conformal and low wet etch rate encapsulation layer using pecvd |
US15/279,314 | 2016-09-28 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201805476A true TW201805476A (zh) | 2018-02-16 |
Family
ID=60243645
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106114734A TW201806128A (zh) | 2016-05-06 | 2017-05-04 | 包覆之方法 |
TW106114876A TW201805476A (zh) | 2016-05-06 | 2017-05-05 | 使用電漿加強化學氣相沉積法以沉積保形及低濕蝕刻速率包覆層的方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106114734A TW201806128A (zh) | 2016-05-06 | 2017-05-04 | 包覆之方法 |
Country Status (4)
Country | Link |
---|---|
US (4) | US10157736B2 (zh) |
KR (4) | KR20170125732A (zh) |
CN (3) | CN107346745B (zh) |
TW (2) | TW201806128A (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI751762B (zh) * | 2019-11-01 | 2022-01-01 | 美商應用材料股份有限公司 | 沉積方法 |
Families Citing this family (44)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11549181B2 (en) | 2013-11-22 | 2023-01-10 | Applied Materials, Inc. | Methods for atomic layer deposition of SiCO(N) using halogenated silylamides |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
KR102378021B1 (ko) * | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
US10157736B2 (en) | 2016-05-06 | 2018-12-18 | Lam Research Corporation | Methods of encapsulation |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
KR102551237B1 (ko) * | 2016-12-22 | 2023-07-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 기저 구조 재료에 대한 직접적인 rf 노출 없이 등각성의 밀폐 유전체 캡슐화를 위한 sibn 필름 |
US10790140B2 (en) * | 2017-02-14 | 2020-09-29 | Applied Materials, Inc. | High deposition rate and high quality nitride |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
JP7249952B2 (ja) | 2017-05-05 | 2023-03-31 | エーエスエム アイピー ホールディング ビー.ブイ. | 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
CN111512451B (zh) | 2017-11-07 | 2023-08-22 | 盖利姆企业私人有限公司 | 掩埋活化p-(Al,In)GaN层 |
US10872762B2 (en) * | 2017-11-08 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming silicon oxide layer and semiconductor structure |
WO2019139761A1 (en) * | 2018-01-15 | 2019-07-18 | Applied Materials, Inc. | Argon addition to remote plasma oxidation |
KR20200118504A (ko) | 2018-03-02 | 2020-10-15 | 램 리써치 코포레이션 | 가수분해를 사용한 선택적인 증착 |
CN111954922A (zh) * | 2018-04-09 | 2020-11-17 | 朗姆研究公司 | 使用有机硅前体修饰晶片表面的疏水性 |
US10319696B1 (en) * | 2018-05-10 | 2019-06-11 | Micron Technology, Inc. | Methods for fabricating 3D semiconductor device packages, resulting packages and systems incorporating such packages |
SG11202010449RA (en) * | 2018-06-19 | 2021-01-28 | Applied Materials Inc | Pulsed plasma deposition etch step coverage improvement |
US20190390341A1 (en) * | 2018-06-26 | 2019-12-26 | Lam Research Corporation | Deposition tool and method for depositing metal oxide films on organic materials |
US11239420B2 (en) | 2018-08-24 | 2022-02-01 | Lam Research Corporation | Conformal damage-free encapsulation of chalcogenide materials |
JP2022519321A (ja) * | 2019-02-11 | 2022-03-22 | アプライド マテリアルズ インコーポレイテッド | パルス状にしたrfプラズマを介した膜形成 |
US11778929B2 (en) | 2019-02-27 | 2023-10-03 | International Business Machines Corporation | Selective encapsulation for metal electrodes of embedded memory devices |
TW202107528A (zh) * | 2019-04-30 | 2021-02-16 | 美商得昇科技股份有限公司 | 氫氣輔助的大氣自由基氧化 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
US20200381623A1 (en) * | 2019-05-31 | 2020-12-03 | Applied Materials, Inc. | Methods of forming silicon nitride encapsulation layers |
KR102677046B1 (ko) | 2019-08-06 | 2024-06-20 | 삼성전자주식회사 | 자기 저항 메모리 소자의 제조 방법 |
JP7243521B2 (ja) * | 2019-08-19 | 2023-03-22 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
KR20210105289A (ko) * | 2020-02-14 | 2021-08-26 | 에이에스엠 아이피 홀딩 비.브이. | 펄스형 플라즈마 전력을 사용하여 유전체 재료 층을 형성하기 위한 방법, 이 층을 포함한 구조물 및 소자, 그리고 이 층을 형성하기 위한 시스템 |
US11447865B2 (en) | 2020-11-17 | 2022-09-20 | Applied Materials, Inc. | Deposition of low-κ films |
US11570020B2 (en) * | 2021-02-04 | 2023-01-31 | Archcyber Technology Co. Ltd. | Communication converters of dry pumps |
TW202316488A (zh) * | 2021-04-06 | 2023-04-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、裝置結構、及形成結構之系統 |
US12094709B2 (en) | 2021-07-30 | 2024-09-17 | Applied Materials, Inc. | Plasma treatment process to densify oxide layers |
CN113629161B (zh) * | 2021-08-04 | 2024-06-07 | 苏州拓升智能装备有限公司 | 间歇等离子体氧化方法和装置、太阳电池的制备方法 |
WO2023076524A1 (en) * | 2021-10-29 | 2023-05-04 | Lam Research Corporation | Atomic layer deposition seam reduction |
US20230143204A1 (en) * | 2021-11-08 | 2023-05-11 | Tokyo Electron Limited | Plasma Enhanced Film Formation Method |
CN118355473A (zh) * | 2021-12-03 | 2024-07-16 | 朗姆研究公司 | 保形碳掺杂的硅氮化物膜及其方法 |
WO2023147136A1 (en) * | 2022-01-31 | 2023-08-03 | Lam Research Corporation | Thin film growth modulation using wafer bow |
CN114783858A (zh) * | 2022-04-27 | 2022-07-22 | 无锡先为科技有限公司 | 半导体器件及其制备方法 |
US20240038527A1 (en) * | 2022-07-26 | 2024-02-01 | Applied Materials, Inc. | Forming films with improved film quality |
Family Cites Families (50)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO1992012535A1 (en) | 1991-01-08 | 1992-07-23 | Fujitsu Limited | Process for forming silicon oxide film |
JP4116283B2 (ja) | 2001-11-30 | 2008-07-09 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法 |
US7531679B2 (en) | 2002-11-14 | 2009-05-12 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride |
US7163860B1 (en) * | 2003-05-06 | 2007-01-16 | Spansion Llc | Method of formation of gate stack spacer and charge storage materials having reduced hydrogen content in charge trapping dielectric flash memory device |
US7125582B2 (en) | 2003-07-30 | 2006-10-24 | Intel Corporation | Low-temperature silicon nitride deposition |
US20050227017A1 (en) | 2003-10-31 | 2005-10-13 | Yoshihide Senzaki | Low temperature deposition of silicon nitride |
US20050170104A1 (en) | 2004-01-29 | 2005-08-04 | Applied Materials, Inc. | Stress-tuned, single-layer silicon nitride film |
JP4074281B2 (ja) | 2004-09-14 | 2008-04-09 | 株式会社東芝 | 磁気ランダムアクセスメモリ |
US20070054450A1 (en) | 2005-09-07 | 2007-03-08 | Magic Technologies, Inc. | Structure and fabrication of an MRAM cell |
US20070080381A1 (en) | 2005-10-12 | 2007-04-12 | Magic Technologies, Inc. | Robust protective layer for MTJ devices |
JP2007281181A (ja) | 2006-04-06 | 2007-10-25 | Elpida Memory Inc | 半導体装置の製造方法 |
US20080224305A1 (en) | 2007-03-14 | 2008-09-18 | Shah Amip J | Method, apparatus, and system for phase change memory packaging |
US7678715B2 (en) | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
KR100962019B1 (ko) * | 2008-06-30 | 2010-06-08 | 주식회사 하이닉스반도체 | 보호막을 포함하는 상변화 메모리 소자 및 그 제조방법 |
US7745346B2 (en) | 2008-10-17 | 2010-06-29 | Novellus Systems, Inc. | Method for improving process control and film conformality of PECVD film |
JP2010103303A (ja) * | 2008-10-23 | 2010-05-06 | Toshiba Corp | 磁気抵抗素子及びその製造方法 |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
US8558295B2 (en) * | 2009-08-25 | 2013-10-15 | Electronics And Telecommunications Research Institute | Nonvolatile memory cell and method of manufacturing the same |
US9373500B2 (en) * | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US8679914B2 (en) | 2010-11-02 | 2014-03-25 | Micron Technology, Inc. | Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
JP2012204408A (ja) | 2011-03-23 | 2012-10-22 | Toshiba Corp | 半導体装置の製造方法 |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
KR102140719B1 (ko) | 2012-03-09 | 2020-08-03 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 디스플레이 디바이스를 위한 배리어 물질 |
US9234276B2 (en) * | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US9023737B2 (en) | 2012-07-11 | 2015-05-05 | Asm Ip Holding B.V. | Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment |
US20140023794A1 (en) | 2012-07-23 | 2014-01-23 | Maitreyee Mahajani | Method And Apparatus For Low Temperature ALD Deposition |
US9243324B2 (en) * | 2012-07-30 | 2016-01-26 | Air Products And Chemicals, Inc. | Methods of forming non-oxygen containing silicon-based films |
US9514932B2 (en) * | 2012-08-08 | 2016-12-06 | Applied Materials, Inc. | Flowable carbon for semiconductor processing |
US8716136B1 (en) | 2012-10-19 | 2014-05-06 | Globalfoundries Inc. | Method of forming a semiconductor structure including a wet etch process for removing silicon nitride |
US9446965B2 (en) | 2013-02-19 | 2016-09-20 | Nanotech Industrial Solutions, Inc. | Applications for inorganic fullerene-like particles |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US20140273530A1 (en) * | 2013-03-15 | 2014-09-18 | Victor Nguyen | Post-Deposition Treatment Methods For Silicon Nitride |
TW201441408A (zh) | 2013-03-15 | 2014-11-01 | Applied Materials Inc | 包含氮化矽之膜的電漿輔助原子層沉積 |
US10084016B2 (en) | 2013-11-21 | 2018-09-25 | Micron Technology, Inc. | Cross-point memory and methods for fabrication of same |
US9397143B2 (en) | 2013-12-20 | 2016-07-19 | Intel Corporation | Liner for phase change memory (PCM) array and associated techniques and configurations |
US9806129B2 (en) | 2014-02-25 | 2017-10-31 | Micron Technology, Inc. | Cross-point memory and methods for fabrication of same |
US10249819B2 (en) | 2014-04-03 | 2019-04-02 | Micron Technology, Inc. | Methods of forming semiconductor structures including multi-portion liners |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9214333B1 (en) | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
US9355837B2 (en) | 2014-09-25 | 2016-05-31 | Micron Technology, Inc. | Methods of forming and using materials containing silicon and nitrogen |
US9748093B2 (en) * | 2015-03-18 | 2017-08-29 | Applied Materials, Inc. | Pulsed nitride encapsulation |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US9385318B1 (en) | 2015-07-28 | 2016-07-05 | Lam Research Corporation | Method to integrate a halide-containing ALD film on sensitive materials |
CN113403604B (zh) | 2015-07-31 | 2024-06-14 | 弗萨姆材料美国有限责任公司 | 用于沉积氮化硅膜的组合物和方法 |
US11104990B2 (en) | 2015-09-11 | 2021-08-31 | Versum Materials Us, Llc | Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US10157736B2 (en) | 2016-05-06 | 2018-12-18 | Lam Research Corporation | Methods of encapsulation |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US11239420B2 (en) | 2018-08-24 | 2022-02-01 | Lam Research Corporation | Conformal damage-free encapsulation of chalcogenide materials |
-
2016
- 2016-09-28 US US15/279,310 patent/US10157736B2/en active Active
- 2016-09-28 US US15/279,314 patent/US20170323785A1/en not_active Abandoned
-
2017
- 2017-05-02 KR KR1020170056236A patent/KR20170125732A/ko unknown
- 2017-05-02 KR KR1020170056225A patent/KR102341824B1/ko active IP Right Grant
- 2017-05-04 TW TW106114734A patent/TW201806128A/zh unknown
- 2017-05-05 TW TW106114876A patent/TW201805476A/zh unknown
- 2017-05-08 CN CN201710316752.2A patent/CN107346745B/zh active Active
- 2017-05-08 CN CN202010952967.5A patent/CN112435934A/zh active Pending
- 2017-05-08 CN CN201710317048.9A patent/CN107393809A/zh active Pending
-
2018
- 2018-11-02 US US16/179,809 patent/US10566186B2/en active Active
-
2020
- 2020-01-10 US US16/740,128 patent/US10763107B2/en active Active
-
2021
- 2021-12-16 KR KR1020210180835A patent/KR102596765B1/ko active IP Right Grant
-
2023
- 2023-10-27 KR KR1020230145345A patent/KR20230153345A/ko active Application Filing
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI751762B (zh) * | 2019-11-01 | 2022-01-01 | 美商應用材料股份有限公司 | 沉積方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20210156823A (ko) | 2021-12-27 |
CN107346745A (zh) | 2017-11-14 |
US20170323785A1 (en) | 2017-11-09 |
US10763107B2 (en) | 2020-09-01 |
US20170323803A1 (en) | 2017-11-09 |
US20200152452A1 (en) | 2020-05-14 |
US10157736B2 (en) | 2018-12-18 |
KR20170125730A (ko) | 2017-11-15 |
KR20230153345A (ko) | 2023-11-06 |
KR20170125732A (ko) | 2017-11-15 |
CN107393809A (zh) | 2017-11-24 |
TW201806128A (zh) | 2018-02-16 |
KR102596765B1 (ko) | 2023-10-31 |
CN107346745B (zh) | 2020-10-16 |
US10566186B2 (en) | 2020-02-18 |
KR102341824B1 (ko) | 2021-12-21 |
CN112435934A (zh) | 2021-03-02 |
US20190157078A1 (en) | 2019-05-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201805476A (zh) | 使用電漿加強化學氣相沉積法以沉積保形及低濕蝕刻速率包覆層的方法 | |
KR102514839B1 (ko) | Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우 | |
US10580690B2 (en) | Staircase encapsulation in 3D NAND fabrication | |
US10629435B2 (en) | Doped ALD films for semiconductor patterning applications | |
KR102474327B1 (ko) | 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들 | |
TWI714534B (zh) | 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備 | |
KR20180120607A (ko) | 금속 층들 상에 실리콘 옥사이드를 증착하기 위한 방법들 및 장치 | |
WO2018089534A1 (en) | Method for high modulus ald sio2 spacer | |
TW201632650A (zh) | 無氨無氯保形氮化矽膜的沉積方法 | |
TW202113142A (zh) | 原子層沉積期間之膜特性的原位控制 | |
KR20210150606A (ko) | 변조된 원자 층 증착 | |
CN111819659A (zh) | 基于蚀刻残渣的抑制剂的选择性处理 |