TW202113142A - 原子層沉積期間之膜特性的原位控制 - Google Patents

原子層沉積期間之膜特性的原位控制 Download PDF

Info

Publication number
TW202113142A
TW202113142A TW109118916A TW109118916A TW202113142A TW 202113142 A TW202113142 A TW 202113142A TW 109118916 A TW109118916 A TW 109118916A TW 109118916 A TW109118916 A TW 109118916A TW 202113142 A TW202113142 A TW 202113142A
Authority
TW
Taiwan
Prior art keywords
reactant
plasma
gas
reaction chamber
film
Prior art date
Application number
TW109118916A
Other languages
English (en)
Inventor
道格拉斯 華特 阿格紐
喬瑟夫 R 亞伯
伊恩 約翰 科廷
普魯夏坦 庫瑪
奧文尼斯 古普塔
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202113142A publication Critical patent/TW202113142A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

在此提供方法,使用原位間歇電漿處理在原子層沉積期間提供膜特性的控制。方法包含在間歇電漿處理期間對用以產生電漿的氣體流率比進行調制,調動電漿功率,及調制腔室壓力。

Description

原子層沉積期間之膜特性的原位控制
本案係關於基板的處理。
許多半導體元件製造製程涉及膜的形成,包括諸如矽氮化物和矽氧化物的含矽膜。 含矽膜的某些沉積涉及電漿輔助化學氣相沉積(PECVD)和/或原子層沉積(ALD),但在某些情況下可能難以獲得高品質的膜。
此處提供的先前技術章節是以總體上呈現本揭露內容背景為目的。在此先前技術章節中所描述的範圍內,目前列名的發明人的工作以及在申請時可能不另外適格為先前技術之描述的實施態樣,均未明示或暗示承認為對抗本揭露內容的先前技術。
此處提供用於處理基板的方法和設備。一個實施態樣涉及一種處理基板的方法,該方法包含:提供一半導體基板至一反應腔室;執行原子層沉積的循環以沉積一膜,每一循環包含:引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上;引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及每n個循環的該原子層沉積之後,暴露該膜於一第二電漿,該第二電漿係由流動氬及一第二氣體加以產生,其中,以下(1)或(2)成立:(1)該第二氣體係選自由氫、氧、及其組合所組成之群組,且氬對該第二氣體之流率比係介於約50:1與約1:1之間,或(2)該第二氣體係一氧化二氮,且氬對該第二氣體的流率比係介於約10:1與約20:1之間。
在一些實施例中,該方法亦包含在暴露該膜於該第二電漿期間,流動一種以上額外的氣體,該一種以上額外的氣體係氧、一氧化二氮、及氦其中任何一者或多者。
另一實施態樣涉及一種處理基板的方法,該方法包含:提供一半導體基板至一反應腔室;執行原子層沉積的循環以沉積一膜,每一循環包含:引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上;引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及每n個循環的該原子層沉積之後,暴露該膜於一第二電漿,該第二電漿係由流動具有介於約50:1與約1:1之間的氬對第二氣體流率比之氬及第二氣體而加以產生,以在100:1 HF中達成低於約40 Å/min的濕蝕刻速率。
在各種實施例中,該第二氣體係氫、氧、及其組合其中一者以上。該方法亦可包含在暴露該膜於該第二電漿期間,流動一第三氣體,該第三氣體係一氧化二氮、氦、及其組合其中一者以上。在一些實施例中,氬的流率對該第三氣體的流率的比係介於約10:1與約20:1之間。
在一些實施例中,該第二電漿係在介於每基板約750 W與約1625 W之間的功率下加以產生。在一些實施例中,該方法亦包含暴露所沉積的膜於該第二電漿的步驟更包含:引入 具有氬對氧氣流率比約12:1的氬與氧氣的混合物。
另一實施態樣涉及一種處理基板的方法,該方法包含:提供一半導體基板至一反應腔室;執行原子層沉積的循環以沉積一膜,每一循環包含:引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上;引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及每n個循環的該原子層沉積之後,在介於約1托與約10托之間的一腔室壓力,暴露該膜於使用介於約1000 W與約2000 W之間的功率所產生的一第二電漿,以將該膜的應力減少至低於約-290 MPa至55 MPa。
另一實施態樣涉及一種處理基板的方法,該方法包含:提供一半導體基板至一反應腔室;執行n個循環的原子層沉積以沉積一膜,每一循環包含:引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上;引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及每n個循環的該原子層沉積之後,在介於約1托與約5托之間的一腔室壓力,暴露所沉積的膜於使用介於約3000 W與約6500 W之間的功率的一第二電漿,以將電氣洩漏減少至在2 MV電場低於約1E-9 A/cm2
另一實施態樣涉及一種處理基板的方法,該方法包含:提供一半導體基板至一反應腔室;執行n個循環的原子層沉積以沉積一膜,每一循環包含:引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上;引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及每n個循環的該原子層沉積之後,在介於約1托與約5托之間的一腔室壓力,暴露所沉積的膜於使用介於約3000 W與約6500 W之間的功率的一第二電漿,以產生一經處理的膜,其中,該經處理的膜具有大於10 MV/cm的崩潰電壓。
另一實施態樣涉及一種處理基板的方法,該方法包含:提供一半導體基板至一反應腔室;執行n個循環的原子層沉積以沉積一含矽膜,每一循環包含:引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上;引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及每n個循環的該原子層沉積之後,暴露沉積的該含矽膜於一第二電漿;及引入含氟反應物種,以形成氟封端的矽表面。
另一實施態樣涉及一種處理基板的方法,該方法包含:提供一半導體基板至一反應腔室;執行n個循環的原子層沉積以沉積一膜,每一循環包含:在允許該第一反應物吸附至該半導體基板的表面之上的條件下,引導呈蒸氣相的一第一反應物進入該反應腔室;引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一電漿於該反應腔室中;及在第n個循環的原子層沉積期間,以與用於第一到第n-1個循環的原子層沉積的劑量時間相比至少1.5倍長的一延長劑量時間,引入該第二反應物的劑量。
針對上述實施態樣任一者,所沉積的膜可為矽氧化物。在一些實施例中,所沉積的膜係矽氮氧化物。在一些實施例中,所沉積的膜係摻雜硼的矽氧化物。在一些實施例中,所沉積的膜係摻雜的矽氧化物以形成n型半導體。在一些實施例中,所沉積的膜係摻雜的矽氧化物以形成p型半導體。
針對上述實施態樣任一者,第一反應物可為含矽前驅物。
針對上述實施態樣任一者,該第二反應物可包含一種以上含氧氣體。該含氧氣體可為氧、一氧化二氮、水、二氧化碳、及其組合其中任一者。
針對上述實施態樣任一者,n可為在介於5與10之間且包含5和10之範圍的整數。針對上述實施態樣任一者,該第二電漿可藉由點燃一惰性氣體而加以產生。針對上述實施態樣任一者,暴露該膜至第二電漿的步驟以及執行該原子層沉積的循環的步驟可在相同腔室加以執行。針對上述實施態樣任一者,暴露該膜於該第二電漿的步驟以及執行原子層沉積的循環的步驟可在未破壞真空的情況下加以執行。針對上述實施態樣任一者,暴露該膜於該第二電漿的步驟可包含脈衝激發該第二電漿。
針對上述實施態樣任一者,該第二電漿可使用介於約0.4421 W/cm2 與約1.7684 W/cm2 之間的電漿密度加以產生。
另一實施態樣涉及一種處理基板的裝置,該裝置包含:一個以上製程腔室,每一製程腔室包含一卡盤;進入該等製程腔室的一個以上氣體入口及相關聯的流動控制硬體;及一控制器,具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係與該流動控制硬體至少操作性連接,且該記憶體儲存電腦可執行指令,用於控制該至少一處理器來至少控制該流動控制硬體以:使呈蒸氣相的一第一反應物從該一個以上氣體入口引入至該一個以上製程腔室;使呈蒸氣相的一第二反應物從該一個以上氣體入口引入至該一個以上製程腔室;使該第一反應物的引入停止;使該第二反應物的引入停止;及在使該第一反應物的引入停止以及使該第二反應物的引入停止之後,致使進行以下操作: 利用在介於約50:1與約1:1之間的惰性氣體與額外氣體的流率比的該惰性氣體與該額外氣體的組合,產生電漿。在一些實施例中,其中該控制器包含指令,以:在使該第一反應物的引入停止以及使該第二反應物的引入停止之後,使該惰性氣體與該額外氣體引入,而不破壞真空使呈蒸氣相的該第一反應物從該一個以上氣體入口引入至該一個以上製程腔室以及使呈蒸氣相的該第二反應物從該一個以上氣體入口引入至該一個以上製程腔室。
另一實施態樣涉及一種處理基板的裝置,該裝置包含:一個以上製程腔室,每一製程腔室包含一卡盤;進入該等製程腔室的一個以上氣體入口及相關聯的流動控制硬體;及一控制器,具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係與該流動控制硬體至少操作性連接,及該記憶體儲存電腦可執行指令,用於控制該至少一處理器來至少控制該流動控制硬體以:使呈蒸氣相的一第一反應物從該一個以上氣體入口引入至該一個以上製程腔室;使呈蒸氣相的一第二反應物從該一個以上氣體入口引入至該一個以上製程腔室;使該第一反應物的引入停止;使該第二反應物的引入停止;及在使該第一反應物的引入停止以及使該第二反應物的引入停止之後,致使進行以下操作: 利用在介於約10:1與約20:1之間的惰性氣體與額外氣體的流率比的該惰性氣體與該額外氣體的組合,產生電漿。在一些實施例中,其中該控制器包含指令,以:在使該第一反應物的引入停止以及使該第二反應物的引入停止之後,使該惰性氣體與該額外氣體引入,而不破壞真空使呈蒸氣相的該第一反應物從該一個以上氣體入口引入至該一個以上製程腔室以及使呈蒸氣相的該第二反應物從該一個以上氣體入口引入至該一個以上製程腔室。
針對上述實施態樣任一者,該裝置可包含四個製程腔室,且該控制器包含指令用於使用介於約3000 W與約6500 W之間的電漿功率之電漿產生。
這些以及其他實施態樣以下參照圖式進一步描述。
在以下描述中,闡述了許多特定細節以提供對所呈現的實施例的透徹理解。可以在沒有一些或所有這些特定細節的情況下實踐所揭露的實施例。另一方面,沒有詳細描述公知的製程操作以免不必要地模糊所揭露的實施例。儘管將結合特定實施例描述所揭露的實施例,但是應當理解,其並不旨在限制所揭露的實施例。
半導體製造製程通常涉及含矽膜的製造,例如矽氧化物、矽氮化物、及矽碳化物。有時將這樣的膜沉積到圖案化的基板上以形成用於各種應用的保形膜,例如用於接觸窗的阻擋層。矽氮化物和矽碳化物層可用作邏輯和記憶體結構的先進元件(例如FinFET、MRAM、3DXPoint、ReRAM、及PCRAM)之中的包封膜、間隔物、及阻擋膜。隨著元件縮小和技術進步,期望有更高品質、更緻密、且更保形的膜。用於沉積保形膜的習知技術涉及原子層沉積(ALD),但是對修改通過此製程方案所沉積的膜的最終性能的努力通常會導致與晶圓產出率的權衡,特別是在期望有諸如低濕蝕刻速率或較高崩潰電場之品質的情況下。一些方案涉及使用二次化學來影響結構中的各向異性沉積,但是該製程不能調節或控制膜中的最終污染。
此處提供了在薄膜的ALD期間執行間歇電漿處理以控制所得膜的所需物理性質的方法。在各種實施例中,沉積的膜是矽氧化物。矽氧化物膜的厚度小於約3kÅ。此類操作中使用的電漿可以使用與藉由ALD沉積矽氧化物的活化操作中使用的電漿相同的化學品和製程條件來產生,或者可以包含額外化學品、頻率、及/或功率位準以實現所需的膜特性,其細節在此作為示例提供。可使用此製程改變的物理性質可以包括但不限於膜濕蝕刻速率、崩潰電場、膜介電質、原子組成、及密度。根據在間歇電漿處理期間使用的化學品,還可以藉由更主動地轟擊入射離子到垂直於晶圓平面的表面來實現側壁濕蝕刻速率的改善。間歇電漿處理還可用於藉由在結構頂部處的濺射作用來影響圖案化結構上的膜保形性。對於包含作為ALD循環的部分或在薄膜ALD之後的抑制操作的沉積製程,間歇性電漿暴露可以幫助調制某些膜的性能,從而獲得高品質的膜並減少污染物的積累。
間歇電漿處理可在任意數量的ALD循環之後發生。在一些示例中,每n個週期執行電漿處理,其中n係在1至200之間並且包括1與200的範圍,例如n=5、n=10、n =15、或n=20。電漿成分、功率、及處理時間取決於所需的膜性質,且可以加以調整以提供特定的物理性質、此處提供優選的臨界範圍。
如上所述,此處所述的實施例涉及藉由ALD的沉積。ALD是一種使用順序的自限反應來沉積材料薄層的技術。通常,ALD循環包括以下操作:將至少一種反應物輸送和吸附到基板表面,然後使吸附的反應物與一種或多種反應物反應以形成至少部分膜層。作為示例,矽氧化物沉積循環​​可以包括以下操作:(i)矽前驅物的輸送/吸附、(ii)矽前驅物從腔室的驅淨、(iii)含氧反應物與選用性電漿的輸送、及(iv)含氧反應物及/或電漿從腔室的驅淨。在一些實施方式中,當在第二反應物的輸送期間使用電漿時,這稱為電漿輔助原子層沉積(PEALD)。矽前驅物的輸送或吸附可以稱為「劑量」操作,且輸送第二反應物以與吸附的前驅物反應可以稱為「轉化」操作。
與化學氣相沉積(CVD)技術不同,ALD製程使用表面介導的沉積反應來逐層沉積膜。在ALD製程的一個示例中,包括一定數量的表面活性部位的基板表面,暴露於在提供給容納基板的腔室之一劑量的第一前驅物(例如矽前驅物)的氣相分佈。此第一前驅物的分子係吸附到基板表面上,包括第一前驅物的化學吸附物種及/或物理吸附分子。應當理解,當如此處所述將化合物吸附到基板表面上時,吸附層可以包括該化合物以及該化合物的衍生物。例如,矽前驅物的吸附層可以包括矽前驅物以及矽前驅物的衍生物。在第一前驅物劑量之後,接著將腔室排空以除去呈氣相殘留的大部分或全部第一前驅物,從而主要是或僅吸附的物種留存。在一些實施方式中,腔室可能沒有被完全排空。例如,腔室可以排空,以使呈氣相的第一前驅物的分壓足夠低以減輕反應。第二反應物,例如含氧反應物,係引入腔室中,使得這些分子中的一些與吸附在表面上的第一前驅物反應。在一些製程中,第二前驅物立即與吸附的第一前驅物反應。在其他實施例中,第二反應物僅在暫時施加活化源之後才反應。在一些實施例中,在第二反應物劑量期間點燃電漿。接著,可以將腔室再次排空以去除未結合的第二反應物分子。如上所述,在一些實施例中,腔室可以不完全排空。額外的ALD循環可用於建構膜厚度。
在某些實施例中,ALD第一前驅物劑量部分地使基板表面飽和。 在一些實施例中,ALD循環的劑量階段在前驅物接觸基板之前結束以均勻地飽和表面。通常,此時前驅物流量係受到關閉或轉移,且只有驅淨氣體流動。藉由在這種次飽和狀態下運行,ALD製程縮短循環時間並提高產出率。但是,由於前驅物的吸附係非飽和度限制的,因此吸附的前驅物濃度可能會在整個基板表面上略有變化。西元2013年10月23日申請的的發明名稱為“SUB‑SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”的美國專利申請案第14/061,587號(現在為美國專利第9,355,839號),提供了在次飽和狀態下運行的ALD方法的示例,通過引用將其全部內容併入本文。
如所描述的,在一些實施方式中,ALD方法包括電漿活化。如本文所述,本文所述的ALD方法和設備可以是保形膜沉積(CFD)方法,其在以下專利文獻一般性描述:西元2011年4月11日申請的美國專利申請號13/084,399(現在為美國專利號8,728,956),發明名稱 “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”;及在西元2011年4月11日申請的美國專利申請第13/084,305號,發明名稱為“SILICON NITRIDE FILMS AND METHODS”,其全部內容通過引用合併於此。
圖1顯示製程流程圖,描繪根據某些揭露的實施例執行的操作。
在操作110中,執行第一ALD循環。ALD循環包括在兩種以上反應物的劑量之間交替,其間具有驅淨步驟,以除去過量的反應物/副產物。以下就圖2的操作211-214描述一個示例。在一些實施例中,ALD循環是PEALD循環。 在操作120中,執行第二ALD循環。可以執行第二ALD循環,使得該循環與操作110中使用的第一ALD循環相同。可以使用電漿功率在約150W與約6000W之間的電漿執行ALD。在一些實施例中,操作110中的電漿功率不同於操作140中使用的電漿功率。在一些實施例中,操作110中的電漿功率與操作140中使用的電漿功率相同。
在操作130中,第n個ALD循環可以在潛在的多個中間循環之後執行。即,根據揭露的實施例,可以執行任何n個循環,或者任何兩個以上的ALD循環。在各種實施例中,第一、第二、…、第n個ALD循環每一者可以彼此不同。在一些實施例中,第一、第二、及第n個ALD循環的每一個係相同的,具有相同的劑量、驅淨、及轉化化學品和製程條件。在揭露的實施例中,n可以是大於或等於2的任何整數。
在操作140中,執行間歇電漿處理。間歇電漿處理涉及:暴露於使用特定氣體混合物化學品和製程條件所提供的一種以上處理氣體,以實現特定的膜性能。該一種以上處理氣體包括氬。該一種以上處理氣體還可包括以下氣體一者以上:氫、氧、一氧化二氮、及氦。在一個非限制性示例中,用於產生用於間歇性電漿處理的電漿的氣體包括氬及氫。在一個非限制性示例中,用於產生用於間歇性電漿處理的電漿的氣體包括氬、氫、及氧。在一個非限制性示例中,用於產生用於間歇性電漿處理的電漿的氣體包括氬、氫、氧、一氧化二氮、及氦。在一個非限制性示例中,用於產生用於間歇性電漿處理的電漿的氣體包括氬、氧、一氧化二氮、及氦。在一個非限制性示例中,用於產生用於間歇性電漿處理的電漿的氣體包括氬、一氧化二氮、及氦。
在一些實施例中,氬的流率與氫的流率之比在約50:1與約1:1之間。在一些實施例中,氬的流率與氧的流率之比在約50:1與約1:1之間。在一些實施例中,氬的流率與一氧化二氮的流率之比在約10∶1與約20∶1之間。在一些實施例中,氬的流率與氦的流率之比在約10∶1與約20∶1之間。
通常,每次間歇電漿處理執行的ALD循環的次數以及間歇電漿處理期間使用的條件,以可以根據所沉積的膜的應用來定製某些性質的方式,影響膜的性質。
在一些實施例中,間歇性電漿是脈衝式的。間歇電漿的脈衝可以以特定的佔空比(在一週期期間電漿開啟的持續時間)執行。應當理解,電漿脈衝可以包括週期的重複,每個週期可以持續一持續時間T。持續時間T包括在一給定週期期間脈衝開啟(ON)時間的持續時間(電漿處於ON狀態的持續時間)以及電漿關閉(OFF)時間的持續時間(電漿處於OFF狀態的持續時間)。脈衝頻率將被理解為1/T。例如,對於電漿脈衝週期T =100µs,頻率為1/T =1/100µs,或10kHz。佔空比或負載比是在一週期T內電漿處於ON狀態的分率或百分比,使得佔空比或負載比為脈衝ON時間除以T。例如,對於電漿脈衝週期T=100µs,如果脈衝ON時間為70 µs(使得在一週期內電漿處於ON狀態的持續時間為70 µs),並且脈衝OFF時間為30µs(使得在一週期內電漿處於OFF狀態的持續時間為30 µs),佔空比為70%。
在一些實施例中,間歇性電漿在ON狀態與OFF狀態之間脈衝,其中在OFF狀態期間施加的電壓為0W。在各種實施例中,例如,在特定的持續時間(例如10秒)內,在0W的OFF狀態與1250W的ON狀態之間使脈衝間歇電漿脈衝。
在操作140期間的腔室壓力可用於調制應力,形成壓縮膜或拉伸膜,或減少污染物。例如,可以使用較低的壓力(例如小於約6托)來形成壓縮膜、形成具有降低的濕蝕刻速率的膜、消除污染物、或以上所有者。可以使用高壓(例如大於約6托)來形成拉伸膜、形成具有更高的濕蝕刻速率的膜、消除污染物、或以上所有者。可以在約2托與約10托之間的腔室壓力下執行操作140。
在操作140期間的腔室壓力可以與操作110的腔室壓力不同。例如,在操作140期間的腔室壓力可以在約2托與約6托之間,而在可以在約0.6托與約20托之間的腔室壓力下進行操作110。在一些實施例中,操作140期間的腔室壓力可以與操作110期間的腔室壓力相同。
下面提供了實現某些特性的示例製程條件集合。
為了調制濕蝕刻速率(藉由浸入100:1稀氫氟酸(HF)60秒來測量),間歇電漿處理可以藉由以下步驟執行:引入氬與氧氣的混合物,氬氣係在大約5 slm與大約50 slm之間的流率加以輸送,並且氧氣係以大約500 sccm與大約10000 sccm之間的流率加以輸送,並使用氬對氧比介於大約50:1與大約1:10,或介於大約50:1與大約100:1或大約36:1;且使用一電漿功率(對於一4站式機台介於約50W與約6500W或介於約3000W與約6500W,或針對高頻電漿對於一4站式機台大約5000W)來點燃電漿。對於低頻電漿,對於一4站式機台的電漿功率可以在大約50W與大約4500W之間,或者在大約50W與大約2000W之間。在一些實施例中,在操作110期間的電漿功率小於在操作140期間的電漿功率。腔室壓力可以設定至在約0.6托與約20托之間,或在約1托至約5托之間,並且間歇性電漿處理可以進行大約0.1秒至大約30秒的持續時間。間歇電漿處理的持續時間越長,濕蝕刻速率越低。在各種實施方案中,這種間歇性電漿處理每隔15個ALD循環或每隔小於15個ALD循環進行。在100:1的HF中,達成的濕蝕刻速率可小於約40Å,或低至約30Å/ min。
在調制濕蝕刻速率的一個非限制性示例中(藉由在100:1稀HF中浸入60秒來測量),可以藉由引入氬、氫、及氧氣的混合物來進行間歇電漿處理,其中 氬的流率與氫的流率的比在約50:1與約1:1之間,並且氬的流率與氧的流率之比在約50:1與約1:1之間。
在調制濕蝕刻速率的一個非限制性示例中(藉由浸入100:1稀HF中60秒來測量),可以藉由引入氬、氧、一氧化二氮、及氦的混合物來執行間歇性電漿處理,其中 氬對氧的流率比在約50:1與約1:1之間,氬的流率對一氧化二氮的流率之比在約10:1與約20:1之間,且氬的流率對氦的流率之比在大約10:1與大約20:1之間。
在調制濕蝕刻速率的一個非限制性示例中(藉由在100:1稀HF中浸入60秒來測量),可以通過引入氬、氧、及一氧化二氮的混合物來進行間歇電漿處理,其中 氬的流率對氧的流率的比在約50:1與約1:1之間,並且氬的流率與一氧化二氮的流率之比在約10:1與約20:1之間。
為了調制膜應力,可以通過引入氬和氧氣以及選用地一種或多種惰性氣體(例如氫氣或一氧化二氮氣體)的混合物來進行間歇電漿處理。對於調制膜應力的某些實施例,輸送的氣體混合物不包括氨。達到的膜應力可以小於約-290MPa,或小於約55MPa,或在約-290MPa與約55MPa之間。氬氣可以在約5slm與約50slm之間的流率加以輸送,並且氧氣可以在約1000sccm與約10000sccm之間的流率加以輸送,其中使用氬對氧比介於大約50:1與大約1:10,或介於大約50:1與大約100:1或大約36:1,並使用一電漿功率(對於一4站式機台介於約1000W與約2000W,或對於一4站式機台大約1200W)來點燃電漿。腔室壓力可設置為約1托至約20托,或約10托,並且間歇電漿處理可進行約1秒至約20秒,或約5秒至約10秒的持續時間。在各種實施例中,這種間歇性電漿處理每隔15個ALD循環或每隔小於15個ALD循環進行。降低的電漿功率和腔室壓力的組合有助於獲得較低應力膜。
在調制膜應力的一個非限制性示例中,間歇性電漿處理可以通過引入氬、氧、及一氧化二氮的混合物來進行,其中氬的流率與氧的流率之比在大約50:1至1:1之間,且氬的流率與一氧化二氮的流率之比在約10∶1與約20∶1之間。
為了調制沉積膜的電性能,可以通過引入氬、氦、氧氣、以及氫氣和一氧化二氮其中一者以上的混合物來進行間歇電漿處理。可以通過使用水銀探針分析工具確定電流洩漏和崩潰電壓來確定膜的電性能。某些揭露的實施例能夠沉積崩潰電壓大於約10MW/cm的膜。在間歇電漿處理期間,氫氣可用於在例如熱氧化膜的膜中改善特定特性並減少洩漏並增加崩潰電壓。氬氣以約5slm至約50slm的流率輸送,且氧氣以約500sccm至約10000sccm的流率輸送,並使用氬對氧比介於大約50:1與大約1:10,或介於大約50:1與大約100:1或大約36:1,並使用電漿功率(對於4站式機台為約3000W至約6500W,或對於4站式機台為約5000W)來點燃電漿。腔室壓力可設定為約1托至約5托,並且可進行間歇電漿處理約0.1秒至約30秒之間的持續時間。電漿功率和腔室壓力的這種特定組合有助於減少洩漏並提高崩潰電壓。
在調制所沉積膜的電特性的一個非限制性實例中,間歇性電漿處理可以通過引入氬、氧、及一氧化二氮的混合物來進行,其中氬的流率與氧的流率之比在約50∶1至約1∶1之間,並且氬的流率與一氧化二氮的流率之比在約10∶1至約20∶1之間。
間歇電漿處理的持續時間越長,濕蝕刻速率越低。在各種實施例中,這種間歇性電漿處理每隔15個ALD循環或每隔小於15個ALD循環加以執行。
為了調制膜雜質(例如碳、氮、及/或氟原子的雜質),可以通過引入氬、氫、及選用的氧氣的混合物來進行間歇電漿處理。膜雜質可以藉由獲得所沉積膜的IR光譜來確定。
在調制膜雜質的一個非限制性實例中,間歇性電漿處理可以通過引入氬、氫、及氧氣的混合物來進行,其中氬的流率與氫的流率之比在大約50:1與1:1之間,且氬的流率對氧的流率之比在約50∶1至約1∶1之間。
在調制膜雜質的一個非限制性實例中,間歇性電漿處理可以通過引入氬、氧、一氧化二氮、及氦的混合物來進行,其中氬與氧氣的流率比在約50:1至約1:1之間,氬的流率與一氧化二氮的流率之比在大約10:1至大約20:1之間,且氬的流率與氦的流率之比在大約10:1與大約20:1之間。
為了調制沉積膜的元素組成,間歇電漿處理可以與使用含氟氣體的抑制或鈍化操作結合而加以執行。抑制或鈍化操作可以有助於對ALD沉積填充製程提供更多控制。下面參照圖2的操作260描述示例製程的細節。間歇電漿處理可以藉由引入處理氣體混合物來執行。
處理氣體混合物可包括氦,以及氬、氧、及氫氣其中一者以上。氦的流率可以在約1000sccm與約10000sccm之間,氬的流率可以在約500sccm與約20000sccm之間,氧的流率可以在約1000sccm與約10000sccm之間,並且氫的流率可以在約500sccm與約5000sccm之間。處理氣體混合物係加以引入,並且對於4站式機台使用在約1000W與約1500W之間的電漿功率將電漿點燃。腔室壓力可設定為約5托至約10托,或約6托,並且間歇電漿處理可執行在約1秒與約10秒之間的持續時間。
處理氣體混合物可包括氬、氫、及氧,且Ar:H2 :O2 的氣體流量比為大約50:1:1至大約1:1:1,例如大約5:1:1,且沒有一氧化二氮或氦氣。
處理氣體混合物可包括氬、一氧化二氮、及氦,其中Ar:N2 O:He的氣體流量比為大約1:1:0.2至大約0.2:0.5:0.2,例如大約0.2:0.5:0,沒有氫或氧氣。
為了實現材料的無空隙填充,可以通過引入氬和氧氣的混合物來進行間歇電漿處理。氬氣可以以約10slm與約150sccm之間、或約50slm的流率輸送,並且氧氣可以以約1slm至約10slm之間的流率輸送,使用約1:5:1的氬對氧比,並使用一電漿功率(對於4站式機台在約1000W與約2000W之間,或對於4站式機台約1200W)來點燃電漿。腔室壓力可設定為約1托至約10托,且間歇電漿處理可進行約1秒至約20秒、或約5秒至約10秒的持續時間。
在操作150中,可以重複操作110-140。即,每n個ALD循環執行操作150,並且可以重複全部n個循環的ALD和間歇性電漿處理。揭露的實施例適用於使用ALD沉積任何材料,例如矽的氧化物、氮化物、及碳化物。
此處提供了原位調制的ALD製程循環的進一步示例。圖2顯示一示例性製程循環,其包括可用於一些實施例中的具有間歇性電漿處理和選用性的抑制操作的ALD循環。圖3對應於圖2的示例時序示意圖,其中沒有選用性的抑制操作;在圖3中的時序示意圖提供了針對ALD循環以及重複一次之週期性的間歇性電漿處理的各種脈衝和流量。
此處實施例係關於矽氧化物的沉積加以描述,但是應當理解,類似的製程可以用於沉積其他含矽膜。
在圖2的操作211中,將基板暴露於沉積前驅物。在各種實施例中,基板在暴露於沉積前驅物之前提供至腔室,使得沉積前驅物係引入腔室以將基板暴露於沉積前驅物。在各種實施例中,腔室本身包括一控制器,下面相對於圖4進一步描述,該控制器包括機器可讀指令用於使沉積前驅物輸送到容納基板的一腔室。
基板可以是半導體基板。基板可以是矽晶圓,例如200mm晶圓、300mm晶圓、或450mm晶圓,包括具有一層或多層材料(例如介電質、導電、或半導電材料)沉積在其上的晶圓。在各種實施例中,對基板進行圖案化。圖案化的基板可以具有諸如介層窗或接觸孔的「特徵部」,其特徵可以是狹窄和/或凹入的開口、特徵部內的束縮部、以及包括高縱橫比的各種縱橫比其中一者以上。可以在一個或多個上述層中形成一個或多個特徵部。特徵部的一個示例是半導體基板或基板上的一層中的孔或介層窗。另一個例子是基板或層中的溝槽。在各種實施例中,特徵部可以具有一下層,例如阻擋層或黏著層。下層的非限制性示例包括介電層和導電層,例如,矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。
在一些實施例中,特徵部可具有至少約1:1、至少約2:1、至少約4:1、至少約6:1、至少約10:1、或更高的縱橫比。該特徵部還可以具有靠近開口的尺寸,例如,開口直徑或線寬在約10nm至10μm之間,舉例來說,在約25nm至約1μm之間。可以在具有開口小於約250nm的特徵部的基板上執行所揭露的方法。介層窗、溝槽、或其他凹入特徵部可以被稱為未填充特徵部或特徵部。根據各種實施例,特徵部輪廓可以逐漸變窄且/或在特徵開口處包括一懸垂部。凹角輪廓是從特徵部的底部、封閉端、或內部往特徵部開口變窄的輪廓。凹角輪廓可能產生自在圖案化期間的不對稱蝕刻動力學及/或由於在先前的膜沉積(例如擴散阻擋物的沉積)之中由於非保形的膜台階覆蓋而導致的懸垂部。在各種示例中,特徵部在該特徵部頂部處開口中的寬度可能小於特徵部的底部的寬度。
在操作211期間,基板暴露於沉積前驅物。在各種實施例中,暴露基板的持續時間足以將沉積前驅物吸附到基板的表面上。在一些實施例中,可將基板暴露於前驅物一持續時間足以飽和少於基板表面的100%。示例的持續時間取決於晶圓的化學性質、前驅物的類型、前驅物流率、晶圓上的圖案、以及其他因素。可以根據材料的所欲特性而選擇持續時間。在一些實施例中,基板可以在操作211期間暴露小於約5秒、或在約0.05秒與約3秒之間的持續時間。對於氧化物的沉積,劑量時間可以在約0.05秒與約0.5秒之間。根據要沉積的材料的類型,選擇該沉積前驅物。例如,為了沉積矽氮化物或矽氧化物膜,可以在操作211期間使用含矽前驅物。
在操作211期間,可以引入一種或多種製程氣體。在一些實施例中,沉積前驅物是含矽前驅物,例如矽烷。此處所述某些方法中使用的含矽前驅物可具有以下結構:
Figure 02_image001
其中R1、R2、及R3可以是相同或不同的取代基,並且可以包括矽烷、胺、鹵化物、氫、或有機基團,例如烷基胺、烷氧基、烷基、烯基、炔基、及芳香基。
例示含矽前驅物包括聚矽烷(H3Si-(SiH2)n-SiH3),其中n>1,例如矽烷(silane)、二矽烷(disilane)、三矽烷(trisilane)、四矽烷(tetrasilane)、及三矽基胺(trisilylamine):
Figure 02_image003
矽烷                       二矽烷                           三矽烷                                     四矽烷                                 三矽基胺
在一些實施方案中,含矽前驅物是烷氧基矽烷。可以使用的烷氧基矽烷包括但不限於以下各項:
Hx -Si-(OR)y ,其中x =1-3,x+y=4,並且R是取代或未取代的烷基;及
Hx (RO)y -Si-Si-(OR)y Hx ,其中x=1-2,x+y=3,並且R是取代或未取代的烷基。
含矽前驅物的實例包括:甲基矽烷;三甲基矽烷(3MS);乙基矽烷;丁矽烷;戊矽烷;辛矽烷;庚矽烷;己矽烷;環丁矽烷;環庚矽烷; 環己矽烷;環辛矽烷;環戊矽烷;1,4-二氧雜-2,3,5,6-四矽環己烷(1,4‑dioxa‑2,3,5,6‑tetrasilacyclohexane);二乙氧基甲基矽烷(DEMS);二乙氧基矽烷(DES);二甲氧基甲基矽烷;二甲氧基矽烷(DMOS);甲基二乙氧基矽烷(MDES);甲基二甲氧基矽烷(MDMS);八甲氧基十二烷基矽氧烷(OMODDS);三級丁氧基二矽烷;四甲基環四矽氧烷(TMCTS);四氧甲基環四矽氧烷(TOMCTS);三乙氧基矽烷(TES);三乙氧基矽氧烷(TRIES);及三甲氧基矽烷(TMS或TriMOS)。
在一些實施例中,含矽前驅物可以是具有氫原子的胺基矽烷,例如雙二乙基胺基矽烷、二異丙基胺基矽烷、三級丁基胺基矽烷(BTBAS)、或參(二甲基胺基)矽烷。 胺基矽烷前驅物包括但不限於以下:Hx -Si-(NR)y ,其中x=0-3,x+y=4且R為有機或氫化物基團。在一些實施例中,含矽前驅物是肆胺基矽烷,例如肆(二甲基胺基)矽烷(4DMAS)。
在一些實施例中,可以使用含鹵素的矽烷,使得該矽烷包括至少一個氫原子。這樣的矽烷可以具有化學式SiXa Hy ,其中y≥1。例如,在一些實施例中可以使用二氯矽烷(H2 SiCl2 )。
除了含矽的前驅物,還可以流動一種或多種其他氣體,包括惰性氣體,例如氬、氮、氦、氫、或其組合。在各種實施例中,可以使用約1slm至約20slm之間的流率引入氬氣。在一些實施例中,使用約0slm至約30slm之間的流率引入氮氣(應理解為0slm是指沒有氮氣流過)。在一些實施例中,使用約0slm至約5slm之間的流率引入氫氣(應理解為0slm是指沒有氫氣流過)。在操作211期間,腔室壓力可以在約0.6托與約10托之間,並且可以將固持待處理基板的一基座的溫度設定為在約150℃與約650℃之間,或者在約150℃與約550℃之間,或在約200℃與約650℃之間,或在約550℃與約650℃之間。
在操作212中,將容納基板的腔室選用性地驅淨,以去除未吸附到基板表面上之氣相的過量前驅物分子。驅淨可能涉及驅淨或吹掃氣體,其可以是在其他操作中使用的載氣或其他氣體。在一些實施例中,驅淨氣體可以是氮、氬或其他惰性氣體、氧、一氧化二氮、惰性氣體的組合、或以上組合。在一些實施例中,氬氣使用約1slm至約20slm之間的流率引入,並且氮氣可以使用約0slm至約30slm之間的流率流入,氫氣可以使用在大約0slm至大約5slm之間的流率流入,並且在一些實施例中,一些氧也可以使用在0.5slm與大約5slm之間的流率流動。在一些實施例中,一氧化二氮也可以使用約0slm至約5slm之間的流率流動。
在一些實施例中,驅淨氣體係與在前驅物劑量期間使用的載氣相同的化學組成。在一些實施例中,驅淨氣體是在電漿操作期間流動的相同氣體,如下文進一步描述。在一些實施例中,驅淨氣體從載氣從其流動的相同氣體源流出。在一些實施例中,驅淨可涉及排空製程站。在一些實施例中,驅淨可包括用於排空製程站的一個或多個排空驅淨。在一些實施例中,驅淨可加以執行任何合適的持續時間,例如在大約0秒與大約60秒之間,或者在大約0秒與大約0.8秒之間。在一些實施例中,增加一種或多種吹掃氣體的流率可以減少驅淨的持續時間。例如,可以根據製程站和/或製程站管道的各種反應物熱力學特性和/或幾何特性而調節驅淨氣體的流率,以修改操作212的持續時間。在一個非限制性示例中,可以通過調制吹掃氣體流率來調節吹掃階段的持續時間。這可以減少沉積循環時間,這可以提高基板的產出率。在驅淨之後,一些前驅物分子可能保持吸附在基板表面上。
在操作212期間的腔室壓力可以在大約0.6托至大約10托之間,並且在一些實施例中可以與在操作211期間相同。基座的溫度可以加以設定為在大約50℃與大約650°之間的溫度,或在大約50°C與大約550°C之間,在大約150°C與大約650°C之間,或在大約150°C與大約550°C之間,或在大約200°C與大約650°C之間,或在約550℃與約650℃之間。在一些實施例中,溫度係與操作211期間的溫度相同。
在操作213中,將基板暴露於第二反應物電漿,該第二反應物電漿可以通過引入第二反應物並點燃電漿來產生。在各種實施例中,第二反應物是含氧反應物。含氧反應物可以是氧、一氧化二氮、水、二氧化碳、或其組合。 可以使基板暴露於能夠與前驅物反應的第二反應物以在基板表面上形成材料,同時點燃電漿以催化反應。可以根據要沉積的膜的類型來選擇第二反應物。對於矽氧化物膜,在操作213中,基板可暴露於含氧反應物或氧化劑,同時將電漿點燃,以沉積矽氧化物膜。在各種實施例中,第二反應物是氧氣。在一些實施例中,第二反應物包括兩種或更多種氣體,例如氧和一氧化二氮氣體的混合物。
在一些實施例中,氬氣使用在約1slm與約20slm之間的流率引入,並且氮氣可以使用在約0slm與約30slm之間的流率加以流動,氫氣可以使用在大約0slm與大約5slm之間的流率加以流動,並且在一些實施例中,一些氧也可以使用在0.5slm與大約5slm之間的流率加以流動。在一些實施例中,一氧化二氮也可以使用在約0slm與約5slm之間的流率加以流動。
電漿能量可加以提供以將第二反應物活化成離子和自由基以及其他活化的物種,其與沈積前驅物的吸附層反應。在各種實施例中,電漿是原位電漿,使得電漿形成在腔室之中的基板表面正上方。可以在約0.2122W/cm2 與約2.122W/cm2 之間或在約0.4421W/cm2 與約1.7684W/cm2 的每基板面積的功率,點燃原位電漿。例如,對於單晶圓的功率可以從約150W至約6000W,或從約500W至約6000W,或從約600W至約6000W,或從約800W至約4000W,或從約310W至約1250W的範圍內。四片300mm晶圓的功率範圍可以從約150W至約6000W,或從約1250W至約5000W。此處描述的範圍包括其端點。
可以通過使用兩個電容耦合板向氣體施加射頻(RF)場來生成ALD製程的電漿。板之間的氣體藉由RF場的離子化將電漿點燃,在電漿放電區域中產生自由電子。這些電子被射頻場加速,並可能與氣相反應物分子發生碰撞。這些電子與反應物分子的碰撞可能形成參與沈積製程的自由基物種。應當理解,RF場可以經由任何合適的電極耦合。在各種實施例中,使用具有至少約13.56MHz、或至少約27MHz、或至少約40MHz、或至少約60MHz的頻率的高頻電漿。在一些實施例中,可以使用基於微波的電漿。電極的非限制性示例包括製程氣體分配噴淋頭和基板支撐基座。將認識到,用於ALD製程的電漿,可以藉由與將RF場電容耦合至一氣體不同的一種或多種合適的方法來形成。在一些實施例中,電漿是遠程電漿,使得第二反應物在腔室上游的遠程電漿產生器中點燃,然後傳送到容納基板的腔室中。
在操作213期間的腔室壓力可以在約0.6托至約10托之間,並且在一些實施例中可以與在操作211和212期間相同。基座的溫度可以設定為在約50℃至約650℃之間的溫度,或在約150°C至約650°C,或約150°C至約550°C,或約200°C至約650°C,或約550°C至約650°C。在一些實施例中,溫度係與操作211和212期間的溫度相同。
在操作214中,腔室係再次選用地驅淨。在一些實施例中的驅淨條件可以與操作212中的驅淨條件相同。在一些實施例中,驅淨條件可以改變。為了該示例的目的,驅淨條件可以與操作212中的驅淨條件相同。
在操作215中,將操作211-214選用地重複n個週期,其中n是等於或大於1的整數,例如在1至200個循環之間。循環數目可取決於要沉積的膜的期望厚度。操作211-214可以構成一個ALD循環。
在操作240中,將基板暴露於間歇電漿處理。可以使用以上關於圖1的操作140描述的製程條件和化學性質來執行間歇電漿處理。在各種實施例中,根據特定應用,每5至10個ALD循環執行間歇性電漿處理。例如,在一些實施例中,可以藉由每10個ALD循環執行間歇性電漿處理來調制膜應力、氟含量、及崩潰電壓。在一些實施例中,可以藉由每5個ALD循環執行間歇電漿處理來改善濕蝕刻速率。
間歇性電漿處理的製程條件,包括處理的持續時間、依據ALD循環數目的處理頻率、以及在處理期間使用的氣體流率,可以加以調制以達成所欲的結果,包括降低的應力、降低的濕蝕刻速率、減少的氟含量、降低的介電k值、及改善的崩潰電壓允差。可達成的濕蝕刻速率在100:1的HF之中可小於約40Å,或低至約30Å/min。
在一些實施例中,氬氣係使用在約1slm與約20slm之間的流率引入,並且氮氣可以使用在約0slm與約30slm之間的流率流動,氫氣可以使用在大約0slm與大約5slm之間的流率流動,並且在一些實施例中,一些氧也可以使用在0.5slm與大約5slm之間的流率流動。在一些實施例中,一氧化二氮也可以使用約0slm與約5slm之間的流率流動。
在操作240期間的腔室壓力可以在大約0.6托和大約10托之間,並且在一些實施例中可以與在操作211-214期間相同。在一些實施例中,在操作240期間的腔室壓力在約2托至約10托之間或在約2托至約6托之間。基座的溫度可以設定為在大約50℃與大約650℃之間,在大約150℃與大約650℃之間,或者在大約150℃至大約550℃之間,或者在大約200℃與約650℃之間的溫度,或在約550℃與約650℃之間。在一些實施例中,溫度與操作211-214期間的溫度相同。
操作240的持續時間可以在大約0.02秒與大約120秒之間。
在一些實施例中,間歇性電漿處理可藉由與ALD和間歇性電漿處理結合而使用抑制操作而用以改善在小特徵部之中的沉積。在這樣的實施例中,在操作260中,基板係選用地暴露於含氟電漿物種中以抑制沉積。示例性製程方案可如以下所示而用於在基板上填充特徵部:ALD係藉由將基板暴露於含矽前驅物與含氧反應物的交替脈衝以沉積部分填充特徵部的矽氧化物而加以執行,接著在沉積的ALD沉積膜上進行間歇電漿處理,接著藉由將基板暴露於由氟電漿產生的一劑量的氟離子和自由基來抑制特徵部開口處或附近的表面,以形成 防止在後續ALD循環中的沉積的氟封端的矽表面,然後循環地重複上述製程方案(多個ALD循環、接著進行間歇性電漿處理、然後進行抑制),以將矽氧化物沉積到特徵部中。
如圖2所示,操作211-240可以選用性地重複,並且操作211-260(可以包括操作211-214的多個循環)可以以多個循環重複。
在涉及抑制的實施例中的間歇性電漿處理可用於控制由於暴露於含氟離子和自由基而引起的抑制程度;也就是說,間歇性電漿處理可以平衡抑制作用以允許更多的活性部位,並提供一個額外的旋鈕來微調沉積製程,尤其是在抑制作用可能會過度減慢沉積但仍可用於確保特徵部的由下而上填充的實施例。
圖3是示例時序示意圖,其顯示具有一沉積方案的製程,該沉積方案涉及對於特徵部填充應用的抑制。製程300顯示一個完整的循環,包括在沉積階段310中的多個沉積循環,隨後是週期性的間歇性電漿處理階段340,以及選用性的抑制階段360。這些線指示流量和/或電漿何時適當地開啟和關閉。儘管在圖3中描繪了某些製程條件,但是應當理解,也可以根據所沉積的膜的特定應用來調制其他製程參數。還應理解,儘管圖3中的示例涉及抑制階段360,但是在某些實施例中可能不存在該階段。儘管圖3中的製程300僅包括一個循環,但是應當理解,所示的循環可以以多個循環重複以沉積一膜。
圖3的沉積階段310可以對應於圖1的操作110、120或130中的任何一個,或者可以對應於執行圖2中的操作211-214。在沉積階段310期間,惰性氣體可以流動,並且矽前驅物與含氧反應物的交替脈衝係加以執行,且在含氧反應物脈衝期間電漿係加以產生。在此操作期間,抑制氣體流量係關閉。儘管顯示用於沉積階段的許多脈衝,但是應當理解,脈衝的數量可以根據要沉積的膜而變化。
在週期性間歇電漿處理階段340之中,惰性氣體可以持續流動,而矽前驅物氣體流量和含氧氣體流量係加以關閉。在存在惰性氣體的情況下將電漿開啟並持續點燃,以處理沉積的膜,並且將抑制氣體流量保持關閉。
在選用性的抑制階段360中,在一些實施例中,可以關閉惰性氣體(儘管應當理解,在某些情況下,惰性氣體流可以保持開啟)。矽前驅物流量和含氧氣體流量保持關閉狀態,並且電漿係加以關閉。此處,抑制氣體流量係接著開啟。
儘管未顯示,但是製程300中所示的循環可以根據需要重複多個循環。
儘管描述了矽氧化物,但是應當理解,所揭露的實施例可以等同地適用於形成摻雜的矽氧化物、n型半導體、p型半導體、矽氮氧化物、摻雜硼的矽氧化物、及其他材料。
在本申請案中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分製造的積體電路」可互換使用。所屬技術領域具有通常知識者將理解,用語「部分製造的積體電路」可以關於在其上的積體電路製造的許多階段中的任何階段的矽晶圓。半導體元件工業中使用的晶圓或基板的直徑通常為200 mm、或300 mm、或450 mm。除非另有說明,否則本文所述的處理細節(例如,流率、功率位準等)係與處理300 mm直徑的基板或配置為處理300 mm直徑的基板的處理腔室有關,並且可以適當縮放以用於其他尺寸的基板或腔室。 設備
圖4描繪了具有製程腔室主體402的原子層沉積(ALD)製程站400的實施例的示意圖。在一些實施例中,ALD製程站400可適用於在低壓力環境中處理基板。在一些實施例中,可以藉由一個或多個電腦控制器450以編程方式調整ALD製程站400的一個或多個硬體參數值,包括下面詳細討論的那些參數。在各種實施例中,在此處所述在ALD製程站之中的膜沉積之後,原位進行間歇電漿處理。可以以測定的方式或基於即時反饋來進行參數值的變化。下面描述了額外示例和進一步實施例。
ALD製程站400與反應物輸送系統401a呈流體連通,以將製程氣體輸送至分配噴淋頭406。反應物輸送系統401a包括一混合容器404,用於混合和/或調節製程氣體以輸送至噴淋頭406。例如,反應物輸送系統401a可包括如下所述的質量流量控制器和液體流量控制器。一個或多個混合容器入口閥420可以控制對混合容器404引入製程氣體。在各種實施例中,可以跨循環而改變向噴淋頭406或向製程腔室主體402的一種或多種製程氣體的輸送。例如,以一種或多種製程氣體用劑的持續時間可以變化。在揭露的實施例中,控制器450可以通過控制一個或多個入口閥420來控制一種或多種製程氣體的輸送。
作為示例,圖4的實施例包括氣化點403,用於氣化液體反應物以供應到混合容器404。在一些實施例中,氣化點403可以是加熱的氣化器。由這種氣化器產生的飽和反應物蒸氣可在下游輸送管路中冷凝。將不相容的氣體暴露於冷凝的反應物中可能會產生小微粒。這些小微粒可能會堵塞管路、阻礙閥操作、污染基板等。解決這些問題的一些方法包括驅淨及/或排空輸送管路以去除殘留的反應物。然而,驅淨輸送管路可能會增加製程站的循環時間,從而降低製程站的產出率。因此,在一些實施例中,氣化點403下游的輸送管路可為伴熱的。在一些示例中,混合容器404也可以為伴熱的。在一個非限制性示例中,氣化點403下游的管路具有在混合容器404處從大約30℃延伸到大約150℃的增加的溫度分佈。
在一些實施例中,液體前驅物或液體反應物可在液體注射器處氣化。例如,液體注射器可以將液體反應物的脈衝注射到混合容器上游的載氣流中。在一個實施例中,液體注射器可藉由使液體從較高壓力至較低壓力進行閃蒸來氣化反應物。在另一個示例中,液體注射器可以將液體霧化成分散的微滴,隨後將其在伴熱的輸送管之中氣化。較小的液滴可以比較大的液滴更快地氣化,從而減少了在液體注射與完全氣化之間的延遲。更快的氣化可以減少在氣化點403下游的管路長度。在一種情況下,液體注射器可以直接安裝到混合容器404。在另一種情況下,液體注射器可以直接安裝到噴淋頭406。
在一些實施例中,可以提供氣化點403上游的液體流量控制器(LFC),以控制用於氣化並輸送到製程站400的液體的質量流量。例如,LFC可以包括熱質量流量計(MFM),位於LFC的下游。然後,可以響應於與MFM電連通的比例積分微分(PID)控制器所提供的反饋控制信號來調整LFC的柱塞閥。然後,可能需要一秒鐘或更長時間來使用反饋控制而穩定液體流動。這可以延長用於以液體反應物用劑的時間。因此,在一些實施例中,LFC可以在反饋控制模式與直接控制模式之間動態切換。在一些實施例中,這可以藉由禁用LFC和PID控制器的感測管來執行。
在一些實施例中,可以使用透過蒸氣流動(flow-over-vapor)製程。在西元2018年9月20日申請的發明名稱為“DYNAMIC PRECURSOR DOSING FOR ATOMIC LAYER DEPOSITION”的美國專利申請公開號2019/0024233中描述了此種製程的討論。在透過蒸氣流動製程的一些實施例中,可以使用管線充氣時間、吸附時間、或一些其他時間來設定閥操作的時序。此外,用於閥的操作的參考坐標可以是劑量步驟的開始、劑量步驟的停止、劑量步驟期間的任何其他事件、及/或ALD循環期間的任何事件。
在一些實施方式中,前驅物的量可以通過氣體管線發送,其可以比期望的吸附量所必需的多。此額外的前驅物也可以被發送,使得其在劑量步驟開始之前、在劑量步驟之後、及/或已經達到期望的吸附/飽和之後到達。在一些這樣的實施方式中,一些前驅物可以在劑量步驟之前及/或之後傾瀉到前級。在一些這樣的實施方式中,安瓿閥可以打開的時間的持續時間、安瓿閥可以打開和/或關閉的時間的時序、腔室閥可以打開的時間的持續時間、及/或腔室閥可以打開的時序可能會有所不同。但是,為了減少浪費,可以將過量的前驅物限制為吸附/飽和所需量的約20%或更少(或約10%或更少)。
此外,在一些實施方式中,每個事件(例如,安瓿閥的打開、腔室閥的關閉)可能不會在預期時間點精確地發生。
此外,閥的時序可能不完全等於管線充氣時間及/或吸附時間。考慮到系統的延遲,例如閥打開或關閉所花費的時間,也可能存在時序延遲。在一些實施例中,可以使用可以具有25毫秒的時序延遲的ALD閥。在此處的實施方式中也可能存在誤差容限,該誤差容限也可能是25毫秒。
在一些實施例中,可以創建動態反饋迴路,以輸送各循環所需的完全相同的每循環前驅物莫爾數,該莫爾數可以小於、等於、或大於吸附量。如此處所述,在透過蒸氣流動系統中輸送的前驅物的量可取決於幾個因素,包括安瓿溫度、頂部空間壓力、及推動氣流。如果這些參數中的一個或多個在沉積週期過程期間偏離預期的設定點(例如,由於安瓿中變化的前驅物位準),則每個安瓿閥打開時間所輸送的前驅物量將相應地偏離。除其他事項外,反饋迴路可能由來自諸如安瓿熱電偶、壓力表、及MFC的感測器數據(例如測量值)以及控制器加以建立。在一示例中,壓力計(例如流體壓力計)位於製程腔室的入口處(例如,通過入口閥420其中之一)。前驅物到達製程腔室可以通過壓力計檢測到的壓力變化來發出信號。藉由基於這樣的反饋迴路而動態地控制安瓿的打開/關閉時序,可以使前驅物遞送更加穩健並且在循環間為可重複的。可以在劑量/沉積步驟期間、一循環中的步驟之間、循環之間、及/或製程之間進行反饋迴路、測量、及/或調節。
噴淋頭406將製程氣體朝向基板412分配。在圖4所示的實施例中,基板412位於噴淋頭406的下方,並且顯示為放置在基座408上。噴淋頭406可以具有任何合適的形狀,並且可以具有任何合適的數量和佈置的埠用於將製程氣體分配到基板412。
在一些實施例中,微容積407位於噴淋頭406下方。以微容積而不是在處理站的整個容積中實施揭露的實施例可以減少反應物暴露和驅淨時間,可以減少用於改變製程條件(例如,壓力,溫度等等)的時間,並且可能會限制製程站機器人對製程氣體的暴露等。示例性的微容積尺寸包括但不限於0.1升至2升之間的容積。這也會影響生產率。在一些實施例中,所揭露的實施例不是以微容積執行的。
在一些實施例中,可以升高或降低基座408以將基板412暴露於微容積407和/或改變微容積407的容積。例如,在基板轉移階段,可以升高基座408以將基板412定位在微容積407之內。在一些實施例中,微容積407可以完全包圍基板412以及基座408的一部分以產生高流動阻抗的區域。
選用性地,可以在製程的部分期間降低和/或升高基座408,以調制微容積407內的製程壓力、反應物濃度等。在製程期間製程腔室主體402保持在基礎壓力的一種情況下,降低基座408可以允許將微容積407抽空。微容積與製程腔室容積的示例比包括但不限於在1:500與1:10之間的容積比。將理解的是,在一些實施例中,基座高度可以由合適的電腦控制器450以編程的方式來調整。在一些實施例中,基座408的位置可以在循環之間變化。例如,在一些ALD循環中,可以升高基座408,並且在一些ALD循環中,可以降低基座408。此處所述的變化可以取決於即時反饋或確定的配方。
在另一種情況下,調整基座408的高度可以允許在揭露的多循環ALD製程中所包括的電漿活化和/或沉積循環期間改變電漿密度。在製程階段結束時,可以在另一個基板轉移階段期間降低基座408,以允許從基座408移除基板412。
儘管此處所述的示例性微容積變化關於高度可調整的基座,但是應當理解,在一些實施例中,噴淋頭406的位置可以相對於基座408進行調整,以改變微容積407的容積。此外,應當理解,基座408和/或噴淋頭406的垂直位置可以藉由在本揭露內容的範圍內的任何合適的機構來改變。在一些實施例中,基座408可以包括用於旋轉基板412的定向的旋轉軸。應當理解,在一些實施例中,這些示例性調整中的一個或多個可以由一個或多個合適的電腦控制器450以編程方式執行。
在如上所述可能使用電漿的一些實施例中,噴淋頭406和基座408係與射頻(RF)電源供應器414和匹配網絡416電連通以對電漿供電。在一些實施例中,可以藉由控制製程站壓力、氣體濃度、RF源功率、RF源頻率、及電漿功率脈衝時序其中一者以上來控制電漿能量。這樣的參數值可以在如此處所述的多循環ALD製程中從ALD循環到ALD循環變化。例如,RF電源供應器414和匹配網絡416可以以任何合適的功率操作以在一個或多個ALD循環期間形成具有期望的自由基物種組成的電漿。合適的功率的示例包括在上面。同樣,RF電源供應器414可以提供任何合適頻率的RF功率。在一些實施例中,RF電源供應器414可以配置為彼此獨立地控制高頻和低頻RF電源。示例性低頻RF頻率可以包括但不限於在50kHz與500kHz之間的頻率。示例性高頻RF頻率可以包括但不限於在1.8MHz與2.45GHz之間的頻率。應當理解,可以離散地或連續地調制任何合適的參數值以提供用於表面反應的電漿能量。在一個非限制性示例中,可以間歇地脈衝輸送電漿功率以相對於連續供電的電漿而減少對基板表面的離子轟擊。在一些實施例中,電漿功率可以在約50W至約6000W之間。在各種實施例中,RF功率或RF頻率或兩者可用於沉積和間歇電漿處理。可以調制RF功率和/或頻率以改變沉積膜的性質,例如濕蝕刻速率、應力、氟濃度、介電k值、及崩潰電壓容限。
在一些實施例中,可以藉由一個或多個電漿監測器而原位監測電漿。在一種情況下,可以藉由一個或多個電壓、電流感測器(例如,VI探針)來監測電漿功率。在另一種情況下,可以藉由一個或多個光學發射光譜感測器(OES)來測量電漿密度和/或製程氣體濃度。在一些實施例中,可基於來自此類原位電漿監測器的測量值以編程方式調整一個或多個電漿參數值。例如,可以在反饋迴路中使用OES感測器,以提供對電漿功率的編程控制。將理解的是,在一些實施例中,可以使用其他監測器來監測電漿和其他製程特性。這樣的監測器可以包括但不限於紅外線(IR)監測器、聲學監測器、及壓力傳感器。
在一些實施例中,可以經由輸入/輸出控制(IOC)順序指令來提供用於控制器450的指令。在一個示例中,用於設定製程階段的條件的指令可以被包括在製程配方的相應配方階段中。在某些情況下,製程配方階段可以順序排列,俾使針對一製程階段的所有指令係與那個製程階段同時執行。在一些實施例中,用於設定一個或多個反應器參數值的指令可以包括在一配方階段中。例如,第一配方階段可以包括用於設定惰性氣體和/或反應氣體(例如第一前驅物,諸如矽烷)的流率的指令、用於設定載氣(例如氮或氬)的流率的指令、及用於第一配方階段的時延指令。一第二、後續的配方階段可以包括用於調制或停止惰性氣體和/或反應物氣體的流率的指令、以及用於調制載體或驅淨氣體的流率的指令,以及第二配方階段的時延指令。第三配方階段可以包括:用於設定惰性和/或反應物氣體的流率的指令,該惰性氣體和/或反應氣體可以與在第一配方階段中使用的氣體(例如,第二前驅物,諸如氧)相同或不同;設定電漿RF功率的指令;用於調制載氣流率的指令(可能與第一配方階段的流率相同或不同);電漿條件以及第三配方階段的時延指令。第四配方階段可以包括用於調制或停止惰性氣體和/或反應物氣體的流率的指令、用於調制載體或驅淨氣體的流率的指令、以及用於第四配方階段的時延指令。第五配方階段可以包括用於設定惰性和/或反應物氣體(例如,氧和/或氬)的流率的指令、用於設定不同或相同的電漿RF功率的指令、用於調制載氣的流率的指令、電漿條件、以及用於執行間歇性電漿處理的第五配方階段的時延指令。在某些情況下,配方階段還可以包括用於在ON和OFF狀態之間脈衝電漿的指令。也可以使用更多的配方階段。將理解的是,在本揭露內容的範圍內,可以以任何合適的方式進一步細分和/或迭代這些配方階段。
在一些實施例中,基座408可以藉由加熱器410加以溫度控制。此外,在一些實施例中,可以藉由蝶閥418來提供對製程站400的壓力控制。如圖4的實施例中所示,蝶閥418調節由下游真空泵(未顯示)所提供的真空。然而,在一些實施例中,還可以藉由改變引入到製程站400的一種或多種氣體的流率來調整製程站400的壓力控制。製程站400可包括用於控制如上所述的示例配方的控制器450。
在一些實施方式中,控制器450是系統的一部分,其可以是上述示例的一部分。這樣的系統可以包括半導體處理設備,包括一個或多個處理機台、一個或多個腔室、一個或多個用於處理的平台、及/或特定的處理組件(晶圓基座、氣流系統等)。這些系統可以與電子元件整合在一起,以在半導體晶圓或基板的處理之前、期間、及之後控制它們的操作。此等電子元件可以稱為「控制器」,其可以控制一個或多個系統的各種組件或子部件。取決於處理要求和/或系統的類型,控制器450可加以編程以控制此處揭露的任何製程,包括處理氣體的輸送和/或用於製程氣體輸送(包含一種以上氣體的轉向)的不同劑量時間的變化、溫度設定(例如加熱和/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定及/或RF功率設定的變化、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置和操作設定、進出機台和其他傳輸機台和/或與特定系統介接或連接的負載鎖室之晶圓轉移。
廣義上講,控制器450可以被定義為具有各種積體電路、邏輯、記憶體、及/或軟體的電子元件,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等。積體電路可以包括呈儲存程式指令的韌體形式的晶片、數位信號處理器(DSP)、定義為特定應用積體電路(ASIC)的晶片、及/或一個或多個微處理器、或執行程式指令的微控制器(例如,軟體)。程式指令可以是以各種個別設定(或程式檔案)的形式傳送到控制器450的指令,其定義用於在半導體晶圓或系統上或針對半導體晶圓或系統執行特定製程的操作參數。在一些實施例中,操作參數可以是由製程工程師定義的配方的一部分,以在製造一層或多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶元期間達成一個以上處理步驟。
在一些實施方式中,控制器450可以是電腦的一部分或耦合至電腦,該電腦係與該系統整合、耦合至該系統、以其他方式網路連接至該系統,或以上組合。例如,控制器450可以在「雲端」中或在晶圓廠主機電腦系統的全部或一部分中,這可以允許晶圓處理的遠程存取。該電腦可以允許遠程存取系統以監測製造操作的當前進度,檢查過去的製造操作的歷史,檢查來自多個製造操作的趨勢或性能指標,以改變當前處理的參數,設定當前處理步驟之後的處理步驟,或開始新的製程。在一些示例中,遠程電腦(例如伺服器)可以通過網路向系統提供製程配方,該網路可以包括區域網路或網際網路。遠程電腦可以包括允許輸入或編程參數和/或設定的使用者介面,然後將參數和/或設定從遠程電腦傳送到系統。在一些示例中,控制器450接收呈資料形式的指令,其針對在一個或多個操作期間要執行的每個處理步驟指定參數。應當理解,參數可以是特定於要執行的製程的類型以及控制器450被配置為與之介接或控制的機台的類型。因此,如上所述,控制器450可以是分散式的,例如藉由包括聯網在一起並朝著共同目的而工作(例如本文所述的製程和控制)的一個或多個離散控制器。用於此目的的分散式控制器的示例將是腔室上的一個或多個積體電路,其與位在遠端的一個或多個積體電路(例如,在平台級別或作為遠程電腦的一部分)進行通信,這些積體電路相結合以控制腔室上的製程。
非限制性地,示例性系統可以包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植布腔室或模組、 軌道腔室或模組、及可在半導體晶圓的製造和/或生產中關聯或使用的任何其他半導體處理系統。
如上所述,取決於機台要執行的一個或多個製程步驟,控制器450可以與以下一者以上通信:其他機台電路或模組、其他機台組件、群集機台、其他機台介面、相鄰機台、附近機台、遍布工廠的機台、主電腦、另一控制器、或用於材料運輸的機台(可將晶圓容器運入和運出半導體製造工廠的機台位置和/或裝載埠)。
如上所述,一個或多個製程站可被包括在一多站式處理機台中。圖5顯示一多站式處理機台500的實施例的示意圖,具有入站負載鎖室502和出站負載鎖室504,此等負載鎖室其中一者或兩者都可以包括遠程電漿源。處於大氣壓下的機器人506配置為將晶圓從藉由傳送盒508裝載的卡匣經由大氣埠510移進入站負載鎖室502。一晶圓係由機器人506放置在入站負載鎖室502的基座512之上,大氣埠510係加以關閉,並且負載鎖室係加以泵抽。在入站負載鎖室502包括遠程電漿源的情況下,晶圓可以在被引入到處理腔室514中之前在負載鎖室中暴露於遠程電漿處理。此外,晶圓也可以在入站負載鎖室502中受到加熱,例如,去除濕氣和吸附的氣體。接下來,通向處理腔室514的腔室傳輸埠516係加以開啟,並且另一個機器人(未顯示)將晶圓放入反應器中而在反應器中所示的第一站的基座上以供處理。儘管圖5中描繪的實施例包括負載鎖室,但是應當理解,在一些實施例中,可以提供晶圓直接進入製程站。
所描繪的處理腔室514包括四個製程站,在圖5所示的實施例中編號為1至4。每個站具有一加熱的基座(對於站1,在518處顯示)和氣體管線入口。將理解的是,在一些實施例中,每個製程站可以具有不同或多個目的。例如,在一些實施例中,一製程站可能在ALD與電漿輔助ALD製程模式之間可切換。另外地或替代地,在一些實施例中,處理腔室514可包括一對以上匹配的ALD與電漿輔助ALD製程站。儘管所描繪的處理腔室514包括四個站,但是應當理解,根據本揭露內容的處理腔室可以具有任何合適數量的站。例如,在一些實施例中,一處理腔室可以具有五個或更多的站,而在其他實施例中,一處理腔室可以具有三個或更少的站。
圖5描繪了用於在處理腔室514內轉移晶圓的晶圓搬運系統的實施例。在一些實施例中,晶圓搬運系統可以在各種製程站之間和/或在一製程站與負載鎖室之間轉移晶圓。應該理解,可以採用任何合適的晶圓搬運系統。非限制性示例包括晶圓迴轉料架和晶圓搬運機器人。圖5還描繪了用於控制處理機台500的製程條件和硬體狀態的系統控制器550的實施例。系統控制器550可以包括一個或多個記憶體元件556、一個或多個大容量儲存元件554、以及一個或多個處理器552。處理器552可以包括CPU或電腦、類比和/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器550控制處理機台500的所有活動。系統控制器550執行系統控制軟體558,該系統控制軟體558儲存在大容量儲存元件554之中,加載到記憶體元件556之中,並在處理器552上執行。替代地,控制邏輯可以在控制器550中加以硬編碼。特定應用積體電路、可編程邏輯元件(例如,現場可程式邏輯閘陣列、或FPGA)等可以用於這些目的。在下面的討論中,無論在何處使用「軟體」或「碼」,功能相當的硬編碼邏輯可替代使用。系統控制軟體558可以包括指令,用於控制時序、氣體混合物、次飽和氣體流量、腔室和/或站壓力、腔室和/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、卡盤和/或支座位置、以及由處理機台500執行的特定製程的其他參數。系統控制軟體558可以任何合適的方式配置。例如,可以編寫各種處理機台組件子程式或控制物件,以控制用於執行各種處理機台處理的處理機台組件的操作。系統控制軟體558可以用任何合適的電腦可讀編程語言來編碼。
在一些實施例中,系統控制軟體558可以包括用於控制上述各種參數的輸入/輸出控制(IOC)順序指令。在一些實施例中,可以採用儲存在與系統控制器550相關聯的大容量儲存元件554和/或記憶體元件556上的其他電腦軟體和/或程式。為此目的的程式或程式片段的示例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可以包括用於處理機台組件的程式碼,該程式碼用於將基板裝載到基座518上並控制在基板與處理機台500的其他部件之間的間隔。
製程氣體控制程式可以包括程式碼用於控制氣體成分(例如,如此處所述的矽烷、氮、及驅淨氣體)及流率,以及選用性地用於在沉積之前使氣體流入一個或多個製程站以穩定在製程站之中的壓力。例如,一製程氣體控制程式可以包括程式碼用於在多循環ALD製程中跨循環而改變製程氣體劑量的持續時間。壓力控制程式可以包括程式碼用於藉由調節例如製程站的排放系統中的節流閥、流入製程站的氣流等來控制製程站中的壓力。
加熱器控制程式可以包括程式碼用於控制流到用於加熱基板的加熱單元的電流。替代地,加熱器控制程式可以控制傳熱氣體(例如,氦)向基板的輸送。
電漿控制程式可以包括程式碼,用於根據本文的實施例而設定施加到一個或多個製程站中的製程電極的RF功率位準。 例如,電漿控制程式可以包括用於跨循環而改變RF功率位準的程式碼。
壓力控制程式可以包括根據此處的實施例的用於維持反應腔室中的壓力的程式碼。
在一些實施例中,可以存在與系統控制器550相關聯的使用者介面。該使用者介面可以包括顯示螢幕、裝置和/或製程條件的圖形軟體顯示,以及諸如指向元件、鍵盤、觸摸螢幕、麥克風等等的使用者輸入元件。
在一些實施例中,由系統控制器550調整的參數值可以涉及製程條件。非限制性示例包括製程氣體成分和流率以及劑量時間、溫度、壓力、電漿條件(例如RF功率位準),以及整個ALD循環中一個或多個參數值的變化等等。這些參數值可以配方形式提供給使用者,該配方可以利用使用者介面輸入。
可以藉由來自各種製程機台感測器的系統控制器550的類比和/或數位輸入連接件來提供用於監測製程的信號。用於控制製程的信號可以在製程機台500的類比和數位輸出連接件上輸出。可以被監測的製程機台感測器的非限制性示例包括質量流量控制器、壓力感測器(例如流體壓力計)、熱電偶等。適當編程的反饋和控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
系統控制器550可以提供用於實現上述沉積製程的程式指令。程式指令可以控制各種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等等。指令可以控制參數值以根據此處所述的各個實施例操作膜堆疊的原位沉積。
系統控制器550通常將包括一個或多個記憶體元件和一個或多個處理器,配置為執行指令,以使得該裝置將執行根據所揭露的實施例的方法。可以將包含根據所公開的實施例的用於控制製程操作的指令的機器可讀媒體耦接到系統控制器。控制器550可以具有以上關於圖4描述的任何特徵。
用於執行此處揭露的方法的合適設備係進一步討論和描述於以下文獻:西元2011年4月11日申請的發明名稱為“PLASMA ACACTVATED CONFORMAL FILM DEPOSITION”的美國專利申請案第13/084,399,以及在西元2011年4月11日申請的發明名稱為“SILICON NITRIDE FILMS AND METHODS”的13/084,305,其每一者都整體併入本文。
此處描述的裝置/製程可以與微影圖案化機台或製程結合使用,例如,用於半導體元件、顯示器、LED、光伏面板等等的製造或生產。通常,儘管不是必須的,但是這樣的機台/製程將在共同的製造設施中一起使用或進行。膜的微影圖案化通常包括以下一些或全部操作,每種操作都可以使用多種可能的機台進行:(1)使用旋塗或噴塗機台在工件(即基板)上塗佈光阻;(2)使用熱板或爐或紫外線固化機台固化光阻;(3)用諸如晶圓步進機之類的機台將光阻暴露於可見光或UV或X射線;(4)將光阻顯影,以選擇性地除去光阻,並使用諸如濕台之類的機台對其進行圖案化;(5)藉由使用乾式或電漿輔助蝕刻機台將光阻圖案轉印到下方的膜或工件中;及(6)使用RF或微波電漿光阻剝離器等機台除去光阻。 實驗 實驗1
在兩個矽氧化物膜上進行實驗,以確定各者的濕蝕刻速率。矽氧化物膜二者皆沉積到縱橫比7:1的特徵部中。矽氧化物膜二者皆藉由使用矽前驅物與氧和一氧化二氮的混合物的交替脈衝的原子層沉積加以沉積,該混合物係用以使用5000W的功率來產生電漿。沉積期間氬和氮氣也流動。氧的流率為5000 sccm,一氧化二氮的流率為5000 sccm,氬的流率為5000 sccm,且氮的流率為25000 sccm。使用流率為1500 sccm的載氣。在ALD期間使用的電漿脈衝具有0.3秒的持續時間,並且將膜沉積到具有6托壓力的腔室中。
在沒有間歇電漿處理的情況下將第一矽氧化物膜加以沉積,並且濕蝕刻速率係針對特徵部的頂部、中間及底部而加以決定。在100:1氫氟酸中30秒內測得的濕蝕刻速率在圖6中以實心條表示。
藉由使氧氣、氬、氦、及氫氣流動並在2托腔室中以10秒於1500W的功率產生電漿而利用間歇電漿處理沉積第二矽氧化物膜。氧氣的流率為2000 sccm,氬的流率為3200 sccm,氦的流率為2000 sccm,且氫的流量為2000 sccm。 每5個ALD循環進行該間歇性電漿處理。針對特徵部的頂部、中間、及底部在100:1氫氟酸中30秒內測得的濕蝕刻速率係在圖6中繪示為斜線陰影條。
如圖6所示,承受間歇電漿處理的膜的濕蝕刻速率,與沒有進行間歇電漿處理的膜相比,達成低很多的濕蝕刻速率。 實驗2
在七個不同的膜之上進行一實驗。使用矽前驅物暴露、驅淨、氧與一氧化二氮氣體混合物以及1250W之電漿、及驅淨的循環,藉由原子層沉積來沉積每個膜。氬和氮氣用作惰性載氣,並在驅淨期間使用。氧氣流率為5000 sccm,一氧化二氮氣體流率為5000 sccm,氬氣流率為5000 sccm,且氮氣流率為25000 sccm。載體流率為1500 sccm。在氧和氮氣暴露期間使用的電漿係開啟0.3秒持續時間。ALD係使用6托的腔室壓力執行。
在沒有間歇電漿處理的情況下藉由該ALD製程沉積第一矽氧化物膜,並測量了該膜的應力,並在圖7中將其描述為製程1。
第二至第七矽氧化物膜係在每10個循環進行間歇性電漿處理的情況下藉由ALD製程加以沉積,並在圖7中的製程2-7中加以描繪。以下表1中提供了這些製程每一者的製程條件。所有製程的間歇電漿處理期間的壓力為10托,且RF ON時間為10秒。對於循環製程4和6,針對100個循環的脈衝電漿處理,RF開啟10秒,然後關閉。 表1.     製程2-7的製程條件
製程 RF 頻率 RF 功率 流率 (sccm) 脈衝 ?
O2 He H2 Ar
2 13.56 MHz 1250W 2000 2000 2000 0
3 13.56 MHz 1250W 2000 2000 2000 10000
4 13.56 MHz 1250W 2000 20000 2000 1200 是, 100 循環的 ON/OFF
5 13.56 MHz 1250W 2000 2000 2000 10000
6 13.56 MHz 2500W 2000 2000 2000 10000 是, 100 循環的 ON/OFF
7 13.56 MHz/ 440 kHz 1250W 2000 2000 2000 10000
結果表明,藉由切換單獨間歇電漿處理的各種製程條件,包括改變RF頻率、RF功率、氦流率、氬流率、及脈衝方案,可以調制膜的應力。 實驗3
在藉由原子層沉積所沉積的矽氧化物膜上進行了一實驗,該原子層沉積涉及到矽前驅物劑量、驅淨、氧與一氧化二氮氣體電漿(具有氬和氮氣)、及驅淨的循環。電漿係使用1250W電漿功率達0.3秒而加以產生,且載氣流係以1500 sccm的流率加以使用。氧氣流率為5000 sccm,一氧化二氮氣體流率為5000 sccm,氬流率為5000 sccm,且氮氣流率為25000 sccm。腔室壓力為6托。
該等膜係藉由使用雙頻電漿而受到基於氟的抑制,該雙頻電漿係針對高頻電漿於750 W、針對低頻電漿於1000 W加以產生,且在具有500 sccm氧氣、3200 sccm氬氣、6000 sccm氦氣、及35 sccm的三氟化氮氣體流動於其中1秒的環境中加以點燃。
接著,對膜進行不同持續時間的間歇性電漿處理。此間歇性電漿處理包括暴露基板於使用1500W電漿點燃之壓力為2托的腔室之中的2000 sccm的氧、3200 sccm的氬、2000 sccm的氦、及2000 sccm的氫。三種不同的間歇電漿處理持續時間為5秒、10秒、及20秒。間歇性電漿處理係每隔10個ALD循環加以執行。氟濃度係針對這些膜每一者決定為膜的歸一化深度的函數,在圖8中加以繪示。線801表示5秒間歇電漿處理結果,線802表示10秒間歇電漿處理結果,且線803是15秒間歇電漿處理的結果。結果表明,較長的電漿後處理可用於在使用帶有抑制的ALD所沉積的矽氧化物膜之中降低氟濃度。 實驗4
進行實驗,以對沒有使用間歇電漿處理的膜與使用間歇電漿處理的膜,測量由ALD沉積的矽氧化物膜的k值。該實驗還涉及確定針對二個膜的崩潰電場。
使用矽前驅物暴露、驅淨、氧與一氧化二氮電漿暴露(使用氬和氮)及驅淨的多個循環來沉積這些膜。使用1250W的電漿功率0.3秒生成電漿。氧氣的流率為5000 sccm,一氧化二氮的流率為5000 sccm,氬氣的流率為5000 sccm,氮氣的流率為25000 sccm,且載氣的流率為1500 sccm。腔室壓力為6托。
使用沒有間歇電漿處理的此製程所沉積的膜係針對介電k值(圖9)和崩潰電場(圖10)加以評估。
在該膜沉積之後,另一膜係暴露於間歇電漿處理,其中間歇電漿處理涉及暴露於1250W電漿10秒,該電漿是每10個ALD循環由點燃2000 sccm的氧、3200 sccm的氬、2000 sccm的氦、及2000 sccm的氫加以產生。使用具有間歇電漿處理的上述製程所沉積的膜係針對介電k值(圖9)和崩潰電場(圖10)進行了評估。
如圖9所示,針對暴露於間歇電漿處理的膜的介電k值係顯著低於未暴露於間歇電漿處理的膜的介電k值。針對暴露於間歇電漿處理的膜的崩潰電壓係明顯高於未暴露於間歇電漿處理的膜的崩潰電壓。這些結果表明,與不使用間歇電漿處理相比,使用間歇電漿處理所沉積的膜具有更好的結果。 實驗5
進行一實驗,比較 使用連續和脈衝式電漿所沉積和處理的膜的應力和濕蝕刻速率。圖11顯示一圖,描繪藉由修改百分比氬流量及在處理期間所施加的電漿類型之膜應力相對於濕蝕刻速率的變化。脈衝的電漿以10 Hz的頻率執行。氬流量的百分比係進入腔室的總可得氬流量的百分比。
點1102代表在脈衝電漿情況下80%的氬流量;點1104代表在脈衝電漿情況下100%氬流量;點1106代表在脈衝電漿情況下60%的氬流量;點1108呈現在脈衝電漿情況下40%的氬流量;點1110代表在脈衝電漿情況下20%的氬流量;且線1101代表當用脈衝電漿處理時隨濕蝕刻速率之應力的總體曲線和趨勢。
相比之下,點1112代表在連續電漿情況下80%的氬流量;點1114代表在連續電漿情況下100%氬流量;點1116代表在連續電漿情況下60%的氬流量;點1118代表在連續電漿情況下40%的氬流量;點1120代表在連續電漿情況下的20%氬流量;且線1111代表以連續電漿處理的膜的整體曲線以及應力與濕蝕刻速率的趨勢。
如圖所示,結果令人驚訝,因為連續電漿的趨勢係與脈衝電漿的趨勢不同,在40%的氬流量和脈衝電漿下,呈現具有顯著降低應力和降低濕蝕刻速率的有利結果,而與所有其他點相比,40%的氬流量和連續的電漿獲得了最高的濕蝕刻速率。考慮到各種膜的應力和濕蝕刻速率的已知趨勢,所顯示的差異是明顯且不預期的。 結論
儘管為了清楚理解的目的已經詳細地描述了前述實施例,但是顯而易見的是,可以在所附申請專利範圍的範疇內進行某些改變和修改。應該注意的是,存在許多實現本案實施例的製程、系統、及設備的替代方式。因此,本實施例應被認為是說明性的而不是限制性的,並且實施例不限於在此給出的細節。
400:原子層沉積(ALD)製程站 401a:反應物輸送系統 402:製程腔室主體 403:氣化點 404:混合容器 406:噴淋頭 407:微容積 408:基座 410:加熱器 412:基板 414:射頻(RF)電源供應器 416:匹配網絡 418:蝶閥 420:入口閥 450:控制器 500:多站式處理機台 502:入站負載鎖室 504:出站負載鎖室 506:機器人 508:傳送盒 510:大氣埠 512:基座 514:處理腔室 516:腔室傳輸埠 518:基座 550:系統控制器 552:處理器 554:大容量儲存元件 556:記憶體元件 558:系統控制軟體
圖1和圖2是描繪根據某些揭露的實施例執行的方法的操作的製程流程圖。
圖3是時序圖,顯示根據某些揭露的實施例的方法的示例。
圖4是用於執行某些揭露的實施例的示例製程腔室的示意圖。
圖5是用於執行某些揭露的實施例的示例製程機台的示意圖。
圖6是顯示針對各種膜的特徵的頂部、中間、及底部的特徵內濕蝕刻速率的圖。
圖7是顯示使用不同製程所沉積的膜的應力的圖。
圖8是描繪暴露於電漿的不同持續時間的膜的氟濃度呈歸一化深度的函數的圖。
圖9是描繪藉由電漿輔助原子層沉積(PEALD)所沉積的膜和藉由具有間歇電漿處理之PEALD所沉積的膜的介電k值的圖。
圖10是描述藉由電漿輔助原子層沉積(PEALD)所沉積的膜和藉由具有間歇電漿處理之PEALD所沉積的膜的崩潰電壓的圖。
圖11是描繪用脈衝式和連續式間歇電漿處理所處理的膜的應力和濕蝕刻速率的圖。

Claims (27)

  1. 一種處理基板的方法,該方法包含: 提供一半導體基板至一反應腔室; 執行原子層沉積的循環以沉積一膜,每一循環包含: 引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上; 引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及 當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及 每n個循環的該原子層沉積之後,暴露該膜於一第二電漿,該第二電漿係由流動氬及一第二氣體加以產生, 其中,以下(1)或(2)成立: (1) 該第二氣體係選自由氫、氧、及其組合所組成之群組,且氬對該第二氣體的流率比係介於約50:1與約1:1之間,或 (2) 該第二氣體係一氧化二氮,且氬對該第二氣體之流率比係介於約10:1與約20:1之間。
  2. 如請求項1所述之處理基板的方法,更包含:在暴露該膜於該第二電漿的該步驟期間,流動一種以上額外的氣體,該一種以上額外的氣體係選自由氧、一氧化二氮、及氦所組成的群組。
  3. 一種處理基板的方法,該方法包含: 提供一半導體基板至一反應腔室; 執行原子層沉積的循環以沉積一膜,每一循環包含: 引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上; 引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及 當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及 每n個循環的該原子層沉積之後,暴露該膜於一第二電漿,該第二電漿係由流動具有介於約50:1與約1:1之間的氬對第二氣體流率比之氬及第二氣體而加以產生,以在100:1 HF中達成低於約40 Å/min的濕蝕刻速率。
  4. 如請求項3所述之處理基板的方法,其中該第二氣體係選自由氫、氧、及其組合所組成之群組。
  5. 如請求項3所述之處理基板的方法,更包含:在暴露該膜於該第二電漿的該步驟期間,流動一第三氣體,其中該第三氣體係選自由一氧化二氮、氦、及其組合所組成的群組。
  6. 如請求項5所述之處理基板的方法,其中氬的流率對該第三氣體的流率的比係介於約10:1與約20:1之間。
  7. 如請求項1所述之處理基板的方法,其中該第二電漿係在介於每基板約750 W與約1625 W之間的功率下加以產生。
  8. 如請求項1所述之處理基板的方法,其中暴露所沉積的膜於該第二電漿的步驟更包含:引入 具有氬對氧氣流率比約12:1的氬與氧氣的混合物。
  9. 一種處理基板的方法,該方法包含: 提供一半導體基板至一反應腔室; 執行原子層沉積的循環以沉積一膜,每一循環包含: 引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上; 引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及 當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及 每n個循環的該原子層沉積之後,在介於約1托與約10托之間的一腔室壓力,暴露該膜於使用介於約1000 W與約2000 W之間的功率所產生的一第二電漿,以將該膜的應力減少至低於約-290 MPa至55 MPa。
  10. 一種處理基板的方法,該方法包含: 提供一半導體基板至一反應腔室; 執行n個循環的原子層沉積以沉積一膜,每一循環包含: 引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上; 引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及 當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及 每n個循環的該原子層沉積之後,在介於約1托與約5托之間的一腔室壓力,暴露所沉積的膜於使用介於約3000 W與約6500 W之間的功率的一第二電漿,以將電氣洩漏減少至在2 MV電場低於約1E-9 A/cm2
  11. 一種處理基板的方法,該方法包含: 提供一半導體基板至一反應腔室; 執行n個循環的原子層沉積以沉積一膜,每一循環包含: 引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上; 引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及 當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及 每n個循環的該原子層沉積之後,在介於約1托與約5托之間的一腔室壓力,暴露所沉積的膜於使用介於約3000 W與約6500 W之間的功率的一第二電漿,以產生一經處理的膜, 其中,該經處理的膜具有大於10 MV/cm的崩潰電壓。
  12. 一種處理基板的方法,該方法包含: 提供一半導體基板至一反應腔室; 執行n個循環的原子層沉積以沉積一含矽膜,每一循環包含: 引導呈蒸氣相的一第一反應物進入該反應腔室,以將該第一反應物吸附至該半導體基板的表面之上; 引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及 當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一第一電漿於該反應腔室中;及 每n個循環的該原子層沉積之後,暴露沉積的該含矽膜於一第二電漿;及 引入含氟反應物種,以形成氟封端的矽表面。
  13. 一種處理基板的方法,該方法包含: 提供一半導體基板至一反應腔室; 執行n個循環的原子層沉積以沉積一膜,每一循環包含: 在允許該第一反應物吸附至該半導體基板的表面之上的條件下,引導呈蒸氣相的一第一反應物進入該反應腔室; 引導呈蒸氣相的一第二反應物的一劑量進入該反應腔室達一劑量時間;及 當呈蒸氣相的該第二反應物在該反應腔室中之時,產生一電漿於該反應腔室中;及 在第n個循環的原子層沉積期間,以與用於第一到第n-1個循環的原子層沉積的劑量時間相比至少1.5倍長的一延長劑量時間,引入該第二反應物的劑量。
  14. 如請求項1-13任一項所述之處理基板的方法,其中該膜包含矽氧化物。
  15. 如請求項1-13任一項所述之處理基板的方法,其中暴露該膜於該第二電漿的步驟以及執行原子層沉積的循環的步驟係在未破壞真空的情況下加以執行。
  16. 如請求項1-13任一項所述之處理基板的方法,其中暴露該膜於該第二電漿的步驟包含脈衝激發該第二電漿。
  17. 如請求項1-13任一項所述之處理基板的方法,其中該第一反應物係含矽前驅物。
  18. 如請求項1-13任一項所述之處理基板的方法,其中該第二反應物包含一種以上含氧氣體。
  19. 如請求項18所述之處理基板的方法,其中該含氧氣體係選自由氧、一氧化二氮、水、二氧化碳、及其組合所組成的群組。
  20. 如請求項1-13任一項所述之處理基板的方法,其中n係在介於5與10之間且包含5和10之範圍的整數。
  21. 如請求項1-13任一項所述之處理基板的方法,其中該第二電漿係藉由點燃一惰性氣體而加以產生。
  22. 如請求項1-13任一項所述之處理基板的方法,其中該第二電漿係使用介於約0.4421 W/cm2 與約1.7684 W/cm2 之間的電漿密度加以產生。
  23. 一種處理基板的裝置,該裝置包含: 一個以上製程腔室,每一製程腔室包含一卡盤; 進入該等製程腔室的一個以上氣體入口及相關聯的流動控制硬體;及 一控制器,具有至少一處理器及一記憶體, 其中該至少一處理器及該記憶體係彼此通訊連接, 該至少一處理器係與該流動控制硬體至少操作性連接,且 該記憶體儲存電腦可執行指令,用於控制該至少一處理器來至少控制該流動控制硬體以: 使呈蒸氣相的一第一反應物從該一個以上氣體入口引入至該一個以上製程腔室; 使呈蒸氣相的一第二反應物從該一個以上氣體入口引入至該一個以上製程腔室; 使該第一反應物的引入停止;使該第二反應物的引入停止;及 在使該第一反應物的引入停止以及使該第二反應物的引入停止之後,致使進行以下操作: 利用在介於約50:1與約1:1之間的惰性氣體與額外氣體的流率比的該惰性氣體與該額外氣體的組合,產生電漿。
  24. 如請求項23所述之處理基板的裝置,其中該控制器包含指令,以:在使該第一反應物的引入停止以及使該第二反應物的引入停止之後,使該惰性氣體與該額外氣體引入,而不破壞真空使呈蒸氣相的該第一反應物從該一個以上氣體入口引入至該一個以上製程腔室以及使呈蒸氣相的該第二反應物從該一個以上氣體入口引入至該一個以上製程腔室。
  25. 一種處理基板的裝置,該裝置包含: 一個以上製程腔室,每一製程腔室包含一卡盤; 進入該等製程腔室的一個以上氣體入口及相關聯的流動控制硬體;及 一控制器,具有至少一處理器及一記憶體, 其中該至少一處理器及該記憶體係彼此通訊連接, 該至少一處理器係與該流動控制硬體至少操作性連接,且 該記憶體儲存電腦可執行指令,用於控制該至少一處理器來至少控制該流動控制硬體以: 使呈蒸氣相的一第一反應物從該一個以上氣體入口引入至該一個以上製程腔室; 使呈蒸氣相的一第二反應物從該一個以上氣體入口引入至該一個以上製程腔室; 使該第一反應物的引入停止;使該第二反應物的引入停止;及 在使該第一反應物的引入停止以及使該第二反應物的引入停止之後,致使進行以下操作: 利用在介於約10:1與約20:1之間的惰性氣體與額外氣體的流率比的該惰性氣體與該額外氣體的組合,產生電漿。
  26. 如請求項25所述之處理基板的裝置,其中該控制器包含指令,以:在使該第一反應物的引入停止以及使該第二反應物的引入停止之後,使該惰性氣體與該額外氣體引入,而不破壞真空使呈蒸氣相的該第一反應物從該一個以上氣體入口引入至該一個以上製程腔室以及使呈蒸氣相的該第二反應物從該一個以上氣體入口引入至該一個以上製程腔室。
  27. 如請求項23及25任一項所述之處理基板的裝置,其中該一個以上製程腔室包含四個製程腔室,且其中該控制器包含指令用於使用介於約3000 W與約6500 W之間的電漿功率之電漿產生。
TW109118916A 2019-06-07 2020-06-05 原子層沉積期間之膜特性的原位控制 TW202113142A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962858812P 2019-06-07 2019-06-07
US62/858,812 2019-06-07

Publications (1)

Publication Number Publication Date
TW202113142A true TW202113142A (zh) 2021-04-01

Family

ID=73652902

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109118916A TW202113142A (zh) 2019-06-07 2020-06-05 原子層沉積期間之膜特性的原位控制

Country Status (6)

Country Link
US (1) US20220238325A1 (zh)
JP (1) JP2022534793A (zh)
KR (1) KR20220006663A (zh)
CN (1) CN114245832A (zh)
TW (1) TW202113142A (zh)
WO (1) WO2020247548A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202143328A (zh) * 2020-04-21 2021-11-16 荷蘭商Asm Ip私人控股有限公司 用於調整膜應力之方法
US11658026B2 (en) * 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
US11955333B2 (en) * 2021-03-22 2024-04-09 Applied Materials, Inc. Methods and apparatus for processing a substrate
KR20240049346A (ko) * 2021-12-15 2024-04-16 가부시키가이샤 코쿠사이 엘렉트릭 성막 방법, 반도체 장치의 제조 방법, 성막 장치 및 프로그램
WO2024076576A1 (en) * 2022-10-06 2024-04-11 Lam Research Corporation Improving chemistry utilization by increasing pressure during substrate processing

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956704B2 (en) * 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
KR102080114B1 (ko) * 2015-09-21 2020-02-24 주식회사 원익아이피에스 질화막의 제조방법
KR102014175B1 (ko) * 2016-07-22 2019-08-27 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
CN114875388A (zh) * 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法

Also Published As

Publication number Publication date
US20220238325A1 (en) 2022-07-28
JP2022534793A (ja) 2022-08-03
CN114245832A (zh) 2022-03-25
KR20220006663A (ko) 2022-01-17
WO2020247548A1 (en) 2020-12-10

Similar Documents

Publication Publication Date Title
US10903071B2 (en) Selective deposition of silicon oxide
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US10804099B2 (en) Selective inhibition in atomic layer deposition of silicon-containing films
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
TWI718131B (zh) 超薄原子層沉積膜精度厚度控制
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
TWI695082B (zh) 無氨無氯保形氮化矽膜的沉積方法
TWI714534B (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW202113142A (zh) 原子層沉積期間之膜特性的原位控制
US20220208543A1 (en) Modulated atomic layer deposition
JP7494209B2 (ja) 調整された原子層堆積
TW202409322A (zh) 橫向間隙填充
TW202418351A (zh) 表面抑制原子層沉積