JP2022534793A - 原子層堆積時における膜特性の原位置制御 - Google Patents

原子層堆積時における膜特性の原位置制御 Download PDF

Info

Publication number
JP2022534793A
JP2022534793A JP2021572277A JP2021572277A JP2022534793A JP 2022534793 A JP2022534793 A JP 2022534793A JP 2021572277 A JP2021572277 A JP 2021572277A JP 2021572277 A JP2021572277 A JP 2021572277A JP 2022534793 A JP2022534793 A JP 2022534793A
Authority
JP
Japan
Prior art keywords
plasma
gas
reactant
film
argon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021572277A
Other languages
English (en)
Inventor
アグニュー・ダグラス・ウォルター
アベル・ショセフ・アール.
カーティン・イアン・ジョン
クマー・プルショッタム
グプタ・オウニッシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022534793A publication Critical patent/JP2022534793A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Abstract

原位置での断続的プラズマ処理を用いた原子層堆積中の膜特性を制御する方法を本明細書で提供する。前記方法は、断続的プラズマ処理の際にプラズマを生成するためのガス流量比を調節する工程、プラズマ出力をトグル切り替えする工程、及びチャンバ圧力を調節する工程を含む。【選択図】図3

Description

(参照による援用)
本出願の一部として、「PCT出願書」を本明細書と同時に提出している。同時に提出した「PCT出願書」で特定されるように、本出願が利益又は優先権を主張する各出願は、あらゆる目的のためにその全体が参照により本明細書に援用される。
半導体素子の作製プロセスは、窒化ケイ素や酸化ケイ素などのケイ素含有膜を含む膜の形成を伴うことが多い。ケイ素含有膜の堆積には、プラズマ促進化学蒸着(PECVD)及び/又は原子層堆積(ALD)が用いられることがあるが、高品質膜を得ることが困難な場合もある。
本明細書に記載の背景の説明は、本開示の文脈を一般的に示すことを目的としている。この背景の節に記載している範囲での現発明者の研究、並びに、それ以外では、出願時に先行技術として認定されない可能性のある記述の側面はどちらも、本開示に対する先行技術として明示的にも暗黙的にも認められない。
本明細書では、基板を加工するための方法及び装置を提供する。1態様は基板加工方法であり、前記方法は以下の工程を含む:
半導体基板を反応チャンバに供給する工程;
膜を堆積するための原子層堆積のサイクルを実行する工程であって、各サイクルは以下の操作を含む:
蒸気相の第1反応物を反応チャンバに導入して、第1反応物を半導体基板の表面に吸着させる操作;
1回分の蒸気相の第2反応物を反応チャンバに1回分の時間、導入する操作;及び
蒸気相の第2反応物が反応チャンバ内にある間に、反応チャンバ内に第1プラズマを発生させる操作;並びに
前記原子層堆積の各nサイクル後に、流動アルゴン及び第2ガスから発生させた第2プラズマに前記膜を曝露する工程であって、条件は以下
(1)第2ガスは、水素、酸素、及びこれらの組み合わせから成る群より選択され、アルゴンの流量と第2ガスの流量との比は約50:1~約1:1の範囲にあるか、又は
(2)第2ガスは亜酸化窒素であり、アルゴンの流量と第2ガスの流量との比は約10:1~約20:1の範囲にある
のいずれかである工程。
いくつかの実施形態では、前記方法は、第2プラズマに膜を曝露する間に1種以上の追加ガスを流す工程も含み、前記1種以上の追加ガスは、酸素、亜酸化窒素、及びヘリウムのうちのいずれか1種以上である。
別の態様は基板加工方法であり、前記方法は以下の工程を含む:
半導体基板を反応チャンバに供給する工程;
膜を堆積するための原子層堆積のサイクルを実行する工程であって、各サイクルは以下の操作を含む:
蒸気相の第1反応物を反応チャンバに導入して、第1反応物を半導体基板の表面に吸着させる操作;
1回分の蒸気相の第2反応物を反応チャンバに1回分の時間、導入する操作;及び
蒸気相の第2反応物が反応チャンバ内にある間に、反応チャンバ内に第1プラズマを発生させる操作;並びに
前記原子層堆積の各nサイクル後に、流動アルゴン及び第2ガスから発生させた第2プラズマに前記膜を曝露する工程であって、100:1のHFで約40Å/分未満のウェットエッチ速度を達成するためにアルゴンの流量と第2ガスの流量との比は約50:1~約1:1の範囲にある工程。
様々な実施形態では、第2ガスは水素、酸素、及びこれらの組み合わせのうちの1つ以上である。前記方法はまた、第2プラズマへの膜の曝露中に第3ガスを流す工程を含んでもよく、第3ガスは亜酸化窒素、ヘリウム、及びこれらの組み合わせのうちの1つ以上である。いくつかの実施形態では、アルゴンの流量と第3ガスの流量との比は約10:1~約20:1の範囲にある。
いくつかの実施形態では、第2プラズマは、1基板当たり約750W~約1625Wの範囲の出力で発生させる。いくつかの実施形態では、前記方法はまた、堆積膜を第2プラズマに曝露する工程、更にアルゴンガスと酸素ガスとの流量比が約12:1であるアルゴンガスと酸素ガスとの混合物を導入する工程も含む。
別の態様は基板加工方法であり、前記方法は以下の工程を含む:
半導体基板を反応チャンバに供給する工程;
膜を堆積するための原子層堆積のサイクルを実行する工程であって、各サイクルは以下の操作を含む:
蒸気相の第1反応物を反応チャンバに導入して、第1反応物を半導体基板の表面に吸着させる操作;
1回分の蒸気相の第2反応物を反応チャンバに1回分の時間、導入する操作;及び
蒸気相の第2反応物が反応チャンバ内にある間に、反応チャンバ内に第1プラズマを発生させる操作;並びに
前記原子層堆積の各nサイクル後に、約1Torr~約10Torrの範囲のチャンバ圧力で約1000W~約2000Wの出力を使用して発生させた第2プラズマに膜を曝露し、膜の応力を約-290MPa~55MPa未満まで減少させる工程。
別の態様は基板加工方法であり、前記方法は以下の工程を含む:
半導体基板を反応チャンバに供給する工程;
膜を堆積するための原子層堆積のnサイクルを実行する工程であって、各サイクルは以下の操作を含む:
蒸気相の第1反応物を反応チャンバに導入して、第1反応物を半導体基板の表面に吸着させる操作;
1回分の蒸気相の第2反応物を反応チャンバに1回分の時間、導入する操作;及び
蒸気相の第2反応物が反応チャンバ内にある間に、反応チャンバ内に第1プラズマを発生させる操作;並びに
前記原子層堆積の各nサイクル後に、約1Torr~約5Torrの範囲のチャンバ圧力で約3000W~約6500Wの出力を使用し、堆積膜を第2プラズマに曝露し、2MVの電界で約1E‐9A/cm2未満まで漏電を減少させる工程。
別の態様は基板加工方法であり、前記方法は以下の工程を含む:
半導体基板を反応チャンバに供給する工程;
膜を堆積するための原子層堆積のnサイクルを実行する工程であって、各サイクルは以下の操作を含む:
蒸気相の第1反応物を反応チャンバに導入して、第1反応物を半導体基板の表面に吸着させる操作;
1回分の蒸気相の第2反応物を反応チャンバに1回分の時間、導入する操作;及び
蒸気相の第2反応物が反応チャンバ内にある間に、反応チャンバ内に第1プラズマを発生させる操作;並びに
前記原子層堆積の各nサイクル後に、約1Torr~約5Torrの範囲のチャンバ圧力で約3000W~約6500Wの出力を使用し、堆積膜を第2プラズマに曝露し、10MW/cmを超える破壊電圧を有する処理膜を得る工程。
別の態様は基板加工方法であり、前記方法は以下の工程を含む:
半導体基板を反応チャンバに供給する工程;
ケイ素含有膜を堆積するための原子層堆積のnサイクルを実行する工程であって、各サイクルは以下の操作を含む:
蒸気相の第1反応物を反応チャンバに導入して、第1反応物を半導体基板の表面に吸着させる操作;
1回分の蒸気相の第2反応物を反応チャンバに1回分の時間、導入する操作;及び
蒸気相の第2反応物が反応チャンバ内にある間に、反応チャンバ内に第1プラズマを発生させる操作;
前記原子層堆積の各nサイクル後に、堆積したケイ素含有膜を第2プラズマに曝露する工程;並びに
フッ素含有反応種を導入し、フッ素終端ケイ素表面を形成する工程。
別の態様は基板加工方法であり、前記方法は以下の工程を含む:
半導体基板を反応チャンバに供給する工程;
膜を堆積するための原子層堆積のnサイクルを実行する工程であって、各サイクルは以下の操作を含む:
第1反応物を半導体基板の表面に吸着させる条件下で、蒸気相の第1反応物を反応チャンバに導入する操作;
1回分の蒸気相の第2反応物を反応チャンバに、1回分の時間、導入する操作;及び
蒸気相の第2反応物が反応チャンバ内にある間に、反応チャンバ内にプラズマを発生させる操作;並びに
原子層堆積のn番目のサイクル中に、原子層堆積の第1~第(n-1)サイクルでの1回分の時間より少なくとも1.5倍長く1回分の時間を延長させて、1回分の第2反応物を導入する工程。
上記のいずれかの態様では、堆積した膜は酸化ケイ素であってもよい。いくつかの実施形態では、堆積した膜は酸窒化ケイ素である。いくつかの実施形態では、堆積した膜は、ホウ素ドープ酸化ケイ素である。いくつかの実施形態では、堆積した膜は、n型半導体を形成するためのドープ酸化ケイ素である。いくつかの実施形態では、堆積した膜は、p型半導体を形成するためのドープ酸化ケイ素である。
上記のいずれかの態様では、第1反応物は、ケイ素含有前駆体であってもよい。
上記のいずれかの態様では、第2反応物は1種以上の酸素含有ガスを含んでいてもよい。前記酸素含有ガスは、酸素、亜酸化窒素、水、二酸化炭素、及びこれらの組み合わせのいずれかであってもよい。
上記のいずれかの態様では、nは、5~10の範囲の整数であってもよい。上記のいずれかの態様では、第2プラズマは不活性ガスを燃焼させることにより発生させてもよい。上記のいずれかの態様では、第2プラズマへの膜の曝露、及び原子層堆積のサイクルの実行は同じチャンバ内で行ってもよい。上記のいずれかの態様では、第2プラズマへの膜の曝露、及び原子層堆積のサイクルの実行は真空破壊せずに行ってもよい。上記のいずれかの態様では、第2プラズマへの膜の曝露は、第2プラズマをパルスさせる工程を含んでいてもよい。
上記のいずれかの態様では、第2プラズマは、約0.4421W/cm2~約1.7684W/cm2の範囲のプラズマ密度を利用して発生させてもよい。
別の態様は基板加工装置であり、前記装置は:各々がチャックを有する1つ以上のプロセスチャンバ;プロセスチャンバに通じる1つ以上のガス流入口及び関連する流量制御ハードウェア;並びに少なくとも1つのプロセッサ及びメモリを有するコントローラを備え、前記少なくとも1つのプロセッサ及びメモリは互いに通信可能に接続され、前記少なくとも1つのプロセッサは流量制御ハードウェアと少なくとも操作可能に接続され、前記メモリは、少なくとも1つのプロセッサを制御して少なくとも流量制御ハードウェアを制御するためのコンピュータ実行可能な指示を格納する:これにより、蒸気相の第1反応物は1つ以上のガス流入口から1つ以上のプロセスチャンバに導入され;蒸気相の第2反応物は1つ以上のガス流入口から1つ以上のチャンバに導入され;第1反応物の導入は停止し;第2反応物の導入は停止し;第1反応物の導入の停止及び第2の反応物の導入の停止後、不活性ガスと追加ガスとを組み合わせて、前記不活性ガスと前記追加ガスとの流量比が約50:1~約1:1の範囲で、プラズマが発生する。いくつかの実施形態では、コントローラは、第1反応物の導入の停止及び第2反応物の導入の停止の後に不活性ガス及び追加ガスを導入させる指示を出すが、ここでは、1つ以上のガス流入口から1つ以上のプロセスチャンバへの蒸気相の第1反応物の導入から、及び1つ以上のガス流入口から1つ以上のプロセスチャンバへの蒸気相の第2反応物の導入から真空破壊は起きない。
別の態様は基板加工装置であり、前記装置は:各々がチャックを有する1つ以上のプロセスチャンバ;プロセスチャンバに通じる1つ以上のガス流入口及び関連する流量制御ハードウェア;少なくとも1つのプロセッサ及びメモリを有するコントローラを備え、前記少なくとも1つのプロセッサ及びメモリは互いに通信可能に接続され、前記少なくとも1つのプロセッサは流量制御ハードウェアと少なくとも操作可能に接続され、前記メモリは、少なくとも1つのプロセッサを制御し、少なくとも流量制御ハードウェアを制御するためのコンピュータ実行可能な指示を格納する:これにより、蒸気相の第1反応物は1つ以上のガス流入口から1つ以上のプロセスチャンバに導入され;蒸気相の第2反応物は1つ以上のガス流入口から1つ以上のチャンバに導入され;第1反応物の導入は停止し;第2反応物の導入は停止し;第1反応物の導入の停止及び第2反応物の導入の停止後、不活性ガスと追加ガスとを組み合わせて、前記不活性ガスと前記追加ガスとの流量比が約10:1~約20:1の範囲で、プラズマが発生する。いくつかの実施形態では、コントローラは、第1反応物の導入の停止及び第2の反応物の導入の停止の後に不活性ガス及び追加ガスを導入させる指示を出すが、ここでは、1つ以上のガス流入口から1つ以上のプロセスチャンバへの蒸気相の第1反応物の導入から、及び1つ以上のガス流入口から1つ以上のプロセスチャンバへの蒸気相の第2反応物の導入から真空破壊は起きない。
上記のいずれかの態様では、装置は4つのプロセスチャンバを備え、前記コントローラは、約3000W~約6500Wのプラズマ出力を用いてプラズマを発生させる指示を出してもよい。
これらの態様及び他の態様を更に、図面を参照して以下に説明する。
図1は、特定の開示した実施形態に従って実行した方法の操作を示すプロセスフロー図である。 図2は、特定の開示した実施形態に従って実行した方法の操作を示すプロセスフロー図である。
図3は、開示した特定の実施形態に従った方法の1例を示すタイミングシーケンス図である。
図4は、開示した特定の実施形態を実行するための例示的なプロセスチャンバの模式図である。
図5は、開示した特定の実施形態を実行するための例示的なプロセスツールの模式図である。
図6は、様々な膜のフィーチャの上部、中間部、及び底部におけるフィーチャ内ウェットエッチ速度を示すグラフである。
図7は、異なるプロセスにより堆積した膜の応力を示すグラフである。
図8は、正規化した深さの関数として、様々な継続時間でプラズマに曝露した膜のフッ素濃度を示すグラフである。
図9は、プラズマ強化原子層堆積(PEALD)により堆積した膜及び断続的なプラズマ処理を伴うPEALDにより堆積した膜の比誘電率k値を示すグラフである。
図10は、プラズマ強化原子層堆積(PEALD)により堆積した膜及び断続的プラズマ処理を伴うPEALDにより堆積した膜の破壊電圧を示すグラフである。
図11は、パルス化プラズマ処理で処理した膜及び連続する断続的プラズマ処理で処理した膜の応力及びウェットエッチ速度を示すグラフである。
以下の説明では、提示した実施形態を完全に理解するために、多数の具体的な詳細が示されている。開示した実施形態は、これらの具体的な詳細の一部又は全てがなくても実施してよい。他の例では、周知のプロセス操作は、開示した実施形態を不必要に不明瞭にしないことを意図して詳細には説明していない。開示した実施形態を具体的な実施形態と共に説明しているが、開示した実施形態を限定することを意図したものではないことは理解されているものとする。
半導体製造プロセスでは、酸化ケイ素、窒化ケイ素、及び炭化ケイ素などのケイ素含有膜の作製を伴うことが多い。このような膜はパターニングした基板上に堆積し、接触用のバリア層などの様々な用途のための共形膜を形成することもある。窒化ケイ素及び炭化ケイ素の層は、FinFET、MRAM、3DXPoint、ReRAM、及びPCRAMなどの論理構造やメモリ構造用の進歩的デバイス中のカプセル化膜、スペーサ、及びバリア膜として使用してもよい。デバイスの小型化及び技術の高度化に伴い、より高品質かつ高密度で、より共形の膜が求められる。共形の膜を堆積するための従来の技術には、原子層堆積(ALD)が挙げられるが、このプロセススキームで堆積した膜の得られた特性を改善しようとすると一般的に、特に低ウェットエッチ速度や高破壊電界などの品質が求められる場合には、ウェハ処理量とのトレードオフが生じる。二次化学反応を利用して、構造体中に異方性堆積に影響を与えるスキームがあるが、このプロセスでは、結果として生じる膜の汚染を調節又は制御しない。
本明細書では、薄膜のALD中に断続的プラズマ処理を行い、結果として得られる膜の所望の物理的特性を制御する方法を提供する。様々な実施形態では、堆積した膜は酸化ケイ素である。薄い酸化ケイ素膜の厚さは約3kÅ未満である。このような操作で使用するプラズマは、ALDによる酸化ケイ素の堆積の活性化操作で使用したプラズマと同じ化学物質及びプロセス条件を用いて発生させることが可能であり、又は、所望の膜特性を達成するための化学物質、周波数、及び/もしくは出力レベルを追加することが可能であり、その詳細は例示として本明細書において提供している。このプロセスにより変更できる物理的特性には、膜のウェットエッチ速度、破壊電界、膜の誘電率、原子組成、及び密度が挙げられるが、これらに限定されるものではない。断続的なプラズマ処理中に使用する化学物質によっては、ウェハ面に垂直な面に入射イオンをより活性的に照射することで、側壁ウェットエッチ速度を向上させることも可能となる。断続的プラズマ処理を利用し、パターニングした構造体の上部においてスパッタリングを行うことで、その構造体上の膜共形性に影響を与えることも可能となる。ALDサイクルの一部としての、又は薄膜のALD後の抑制操作を含む堆積プロセスでは、断続的プラズマの曝露により、特定の膜特性を調節して高品質な膜を実現し、汚染の蓄積を減少させることが可能となる。
断続的プラズマ処理は任意数のALDサイクルの後に行うことが可能である。いくつかの例では、プラズマ処理はnサイクルごとに行い、nは1~200の範囲にあり、例えばn=5、n=10、n=15、又はn=20である。プラズマの組成、出力、及び処理時間は、所望の膜特性に依存し、本明細書で提供する特定の物理的特性、最適な臨界範囲を提供できるように調整可能である。
上述したように、本明細書に記載の実施形態はALDによる堆積を含む。ALDは、連続的な自己制限反応を利用して材料の薄層を堆積させる技術である。典型的には、ALDサイクルは、少なくとも1種の反応物を基板表面に送達及び吸着させ、その後、吸着した反応物を1種以上の反応物と反応させて、少なくとも部分的な膜の層を形成する操作を含む。1例として、酸化ケイ素堆積サイクルは以下の操作:(i)ケイ素前駆体の送達/吸着、(ii)チャンバからのケイ素前駆体のパージ、(iii)任意のプラズマを用いる酸素含有反応物の送達、及び(iv)チャンバからの酸素含有反応物及び/又はプラズマのパージ、を含んでもよい。第2反応物の送達中にプラズマを使用する場合、いくつかの実施形態では、この送達は、プラズマ強化原子層堆積(PEALD)と称する。ケイ素前駆体の送達又は吸着は、「ドーズ」操作と称してもよく、吸着された前駆体と反応させるための第2反応物の送達は「変換」操作と称してもよい。
化学蒸着(CVD)技術とは異なり、ALDプロセスは、表面媒介堆積反応を利用し、層ごとに膜を堆積する。ALDプロセスの1例では、表面活性部位の集団を含む基板表面は、基板を収容するチャンバに提供される1回分のケイ素前駆体などの第1前駆体の気相分布に曝露する。この第1前駆体の分子は、第1前駆体の化学吸着種及び/又は物理吸着分子を含む基板表面に吸着させる。本明細書に記載されているように化合物を基板表面に吸着させる場合、吸着層は化合物のみならず化合物の誘導体も含んでよいことは理解すべきである。例えば、ケイ素前駆体の吸着層は、ケイ素前駆体のみならずケイ素前駆体の誘導体も含んでよい。第1前駆体をドーズした後、チャンバを真空脱気して、気相中に残る第1前駆体の大部分又は全てを除去し、吸着種の大部分又は吸着種のみを残す。いくつかの実装ではチャンバは完全に真空にしなくてもよい。例えば、気相中の第1前駆体の分圧が反応を緩和するに十分低くなるように、チャンバを真空脱気してもよい。酸素含有反応物などの第2反応物をチャンバに導入することにより、これらの分子の一部が、表面に吸着した第1前駆体と反応する。いくつかのプロセスでは、第2前駆体は、吸着した第1前駆体と即時に反応する。他の実施形態では、第2反応物は、活性化源が一時的に付与された後にのみ反応する。いくつかの実施形態では、第2反応物のドーズ中にプラズマを燃焼させる。その後、未結合の第2反応物分子を除去するために、チャンバを再度真空脱気してもよい。上述のように、いくつかの実施形態では、チャンバは完全に真空にしなくてもよい。膜厚を構築するために、ALDサイクルを追加してもよい。
ある実施形態では、ALD第1前駆体をドーズすると、基板表面は部分的に飽和する。いくつかの実施形態では、ALDサイクルのドーズ段階は、前駆体が基板に接触して表面を均一に飽和させる前に終了する。通常、この時点で前駆体流は停止又は転用し、パージガスのみが流れる。このように半飽和状態で操作することにより、ALDプロセスはサイクル時間を短縮し、処理量を向上させる。しかし、前駆体の吸着は飽和制限されていないため、吸着した前駆体の濃度は基板表面でわずかに変動する場合もある。半飽和状態で操作するALDプロセスの例としては、2013年10月23日出願の米国特許出願第14/061,587号(現米国特許第9,355,839号)、名称「SUB‐SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」に記載されており、これはその全体が参照により本明細書に援用される。
説明したように、いくつかの実装では、ALD方法はプラズマ活性化を含む。本明細書に記載しているように、本明細書に記載のALD方法及び装置は、共形膜堆積(CFD)法であってもよく、これは、2011年4月11日出願の米国特許出願第13/084,399号(現米国特許第8,728,956号)、名称「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」、及び2011年4月11日出願の米国特許出願第13/084,305号、名称「SILICON NITRIDE FILMS AND METHODS」で概説されており、これらはその全体が参照により本明細書に援用される。
図1は、開示した特定の実施形態に従って実行する操作を図示するプロセスフロー図である。
操作110では、第1ALDサイクルを実行する。ALDサイクルには、過剰な反応物/副生成物を除去するために、サイクル間にパージ工程を挟んで、2種以上の反応物のドーズを交互に行う工程が含まれる。図2の操作211~214に関し、1例を以下に説明する。いくつかの実施形態では、ALDサイクルはPEALDサイクルである。操作120では、第2ALDサイクルを実行する。第2ALDサイクルは、操作110で使用した第1ALDサイクルと同一のサイクルになるように実行してもよい。ALDは、プラズマ出力が約150W~約6000Wの範囲のプラズマを用いて実行してもよい。いくつかの実施形態では、操作110におけるプラズマ出力は、操作140において用いるプラズマ出力とは異なる。いくつかの実施形態では、操作110でのプラズマ出力は、操作140で用いるプラズマ出力と等しい。
操作130では、n番目のALDサイクルは、潜在的に存在する多数の介在サイクルの後に実行してもよい。即ち、開示した実施形態に従って、任意のnサイクル、又は任意の2サイクル以上のALDサイクルを実行してもよい。様々な実施形態では、第1、第2・・・第nのALDサイクルはそれぞれ互いに異なっていてもよい。いくつかの実施形態では、第1、第2、及び第nのALDサイクルはそれぞれ、同一の1回量、パージ、変換化学物質、及びプロセス条件が同一である。開示した実施形態では、nは2以上の任意の整数であってもよい。
操作140では、断続的なプラズマ処理を実行する。断続的プラズマ処理には、特定の膜特性を達成するために特定のガス混合化学物質及びプロセス条件を用いて提供した1種以上の処理ガスへの曝露が含まれる。前記1種以上の処理ガスはアルゴンを含む。また、1種以上の処理ガスは以下のガス:水素、酸素、亜酸化窒素、及びヘリウムのうちの1種以上を含むことも可能である。非限定的な1例では、断続的プラズマ処理用のプラズマを発生させるために使用するガスは、アルゴン及び水素を含む。非限定的な1例では、断続的プラズマ処理用のプラズマを発生させるために使用するガスは、アルゴン、水素、及び酸素を含む。非限定的な1例では、断続的プラズマ処理用のプラズマを発生させるために使用するガスは、アルゴン、水素、酸素、亜酸化窒素、及びヘリウムを含む。非限定的な1例では、断続的プラズマ処理用のプラズマを発生させるために使用するガスは、アルゴン、酸素、亜酸化窒素、及びヘリウムを含む。非限定的な1例では、断続的プラズマ処理用のプラズマを生成するために使用するガスはアルゴン、亜酸化窒素、及びヘリウムを含む。
いくつかの実施形態では、アルゴンの流量と水素の流量との比は約50:1~約1:1の範囲にある。いくつかの実施形態では、アルゴンの流量と酸素の流量との比は約50:1~約1:1の範囲にある。いくつかの実施形態では、アルゴンの流量と亜酸化窒素の流量との比は約10:1~約20:1の範囲にある。いくつかの実施形態では、アルゴンの流量とヘリウムの流量との比は約10:1~約20:1の範囲にある。
一般に、堆積させる膜の用途に応じて特定の特性を調整できるように、断続的プラズマ処理ごとに実行するALDサイクルの回数及び断続的プラズマ処理時に用いる条件は膜特性に影響を与える。
いくつかの実施形態では、断続的プラズマをパルスさせる。断続的プラズマのパルス化は特定のデューティサイクル(周期中にプラズマがONである継続時間)で行ってもよい。プラズマのパルス化は周期の繰り返しを含んでもよく、各周期は継続時間Tの間、継続してもよいことは理解されているものとする。継続時間Tは、所定の周期中、パルスON時間の継続時間(プラズマがON状態である継続時間)及びプラズマOFF時間の継続時間(プラズマがOFF状態である継続時間)を含む。パルス周波数は、1/Tとして理解されているものとする。例えば、プラズマのパルス周期T=100μsの場合、周波数は1/T=1/100μs、又は10kHzである。デューティサイクル又はデューティ比は、プラズマがON状態である周期T継続時間における割合又は百分率であり、デューティサイクル又はデューティ比はパルスON時間をTで割った値となる。例えば、プラズマのパルス周期T=100μsの場合、パルスON時間が70μsであり(周期中にプラズマがON状態である継続時間が70μs)パルスOFF時間が30μs(周期中にプラズマがOFF状態である継続時間が30μs)であれば、デューティサイクルは70%である。
いくつかの実施形態では、断続的プラズマはON状態とOFF状態との間でパルスさせ、OFF状態中に印加する電圧は0Wである。様々な実施形態では、例えば、パルスさせた断続的プラズマは、10秒といった特定の継続時間に渡って0WのOFF状態と1250WのON状態との間でパルスさせる。
操作140中のチャンバ圧力は、応力を調節し、圧縮膜もしくは引張膜を形成し、汚染物を低減するために使用してもよい。例えば、圧力低下(例えば、約6Torr未満)は、圧縮膜を形成し、低ウェットエッチ速度の膜を形成し、汚染物を除去するために、又はこれらの全ての目的に、利用することが可能である。高圧(例えば、約6Torr超)は、引張膜を形成し、高ウェットエッチ速度の膜を形成し、汚染物を排除するために、又はこれらの全ての目的に、利用することが可能である。操作140は、約2Torr~約10Torrの範囲のチャンバ圧力で実行してもよい。
操作140中のチャンバ圧力は操作110のものとは異なっていてもよい。例えば、操作140中のチャンバ圧力は約2Torr~約6Torrの範囲であってもよく、一方、操作110は、約0.6Torr~約20Torrの範囲のチャンバ圧力で実行してもよい。いくつかの実施形態では、操作140中のチャンバ圧力は、操作時のものと同じであってもよい。
特定の特性を実現するための一式のプロセス条件の例を以下に示す。
ウェットエッチ速度(100:1希釈フッ化水素酸(HF)中に60秒間浸漬して測定)を調節するために、断続的プラズマ処理はアルゴンガスと酸素ガスとの混合物を導入することにより行うことが可能であり、ここではアルゴンと酸素との比は約50:1~約1:10の範囲、約50:1~約100:1の範囲、又は約36:1で、アルゴンガスを約5slm~約50slmの範囲の流量で送達し、酸素ガスを約500sccm~約10000sccmの範囲の流量で送達し、4ステーションツールでは約50W~約6500Wの範囲、もしくは約3000W~約6500Wの範囲のプラズマ出力、又は高周波プラズマ用の4ステーションツールでは約5000Wのプラズマ出力を用い、プラズマを燃焼させる。低周波プラズマの場合、4ステーションツールのプラズマ出力は、約50W~約4500Wの範囲、又は約50W~約2000Wの範囲であってもよい。いくつかの実施形態では、操作110におけるプラズマ出力は、操作140におけるプラズマ出力よりも小さい。チャンバ圧力は、約0.6Torr~約20Torrの範囲、又は約1Torr~約5Torrの範囲に設定してもよく、断続的プラズマ処理は約0.1秒~約30秒の範囲の継続時間で行ってもよい。断続的プラズマ処理の継続時間が長いほど、ウェットエッチ速度は低い。様々な実施形態では、このような断続的プラズマ処理は、ALDの15サイクルごとに、又はALDの15サイクル未満ごとに行う。得られたウェットエッチ速度は100:1のHFで約40Å/分未満、又は約30Å/分と低くてもよい。
ウェットエッチ速度(100:1希釈HF中に60秒間浸漬して測定)を調節する非限定的な1例では、断続的プラズマ処理はアルゴン、水素、及び酸素ガスの混合物を導入することにより行うことが可能であり、ここでは、アルゴンの流量と水素の流量との比は約50:1~約1:1の範囲であり、アルゴンの流量と酸素の流量との比は約50:1~約1:1の範囲である。
ウェットエッチ速度(100:1希釈HF中に60秒間浸漬して測定)を調節する非限定的な1例では、断続的プラズマ処理はアルゴン、酸素、亜酸化窒素、及びヘリウムの混合物を導入することにより行うことが可能であり、ここではアルゴンと酸素との流量の比は約50:1~約1:1の範囲であり、アルゴンの流量と亜酸化窒素の流量との比は約10:1~約20:1の範囲であり、アルゴンの流量とヘリウムの流量との比は約10:1~約20:1の範囲である。
ウェットエッチ速度(100:1希釈HF中に60秒間浸漬して測定)を調節する非限定的な1例では、断続的プラズマ処理はアルゴン、酸素、及び亜酸化窒素の混合物を導入することにより行うことが可能であり、ここでは、アルゴンの流量と酸素の流量との比は約50:1~約1:1の範囲であり、アルゴンの流量と亜酸化窒素の流量との比は約10:1~約20:1の範囲である。
膜応力を調節するために、断続的プラズマ処理は、アルゴン及び酸素ガス、並びに場合により水素ガスや亜酸化窒素ガスなどの1種以上の不活性ガスの混合物を導入することにより行うことが可能である。送達した混合ガスは、膜応力を調節する特定の実施形態では、アンモニアを含まない。得られた膜応力は、約-290MPa未満、又は約55MPa未満、又は約-290MPa~約55MPaの範囲であってもよい。アルゴンガスは約5slm~約50slmの範囲の流量で送達可能であり、酸素ガスは約1000sccm~約10000sccmの範囲の流量で送達可能であり、ここでは、アルゴンと酸素との比は約50:1~約1:10の範囲、約50:1~約100:1の範囲、又は約36:1であり、4ステーションツールで約1000W~約2000Wの範囲、又は4ステーションツールで約1200Wのプラズマ出力を用い、プラズマを燃焼させる。チャンバ圧力は約1Torr~約20Torr、又は約10Torrに設定してもよく、断続的プラズマ処理は、約1秒~約20秒、又は約5秒~約10秒間行うことが可能である。様々な実施形態では、このような断続的プラズマ処理は、ALDの15サイクルごとに、又はALDの15サイクル未満ごとに行う。プラズマ出力及びチャンバ圧力の低減を組み合わせることで、膜応力が低くなる。
膜応力を調節する非限定的な1例では、断続的プラズマ処理は、アルゴン、酸素、及び亜酸化窒素の混合物を導入することにより行うことが可能であり、ここでは、アルゴンの流量と酸素の流量との比は約50:1~約1:1の範囲であり、アルゴンの流量と亜酸化窒素の流量との比は約10:1~約20:1の範囲である。
堆積膜の電気特性を調節するために、断続的プラズマ処理は、アルゴン、ヘリウム、酸素ガス、並びに水素ガス及び亜酸化窒素のうちの1種以上の混合物を導入することにより行うことが可能である。膜の電気的特性は、水銀プローブ分析ツールを用いて、漏洩電流や破壊電圧を測定することにより測定できる。開示した特定の実施形態は約10MW/cmを超える破壊電圧を有する膜を堆積できる。断続的プラズマ処理中に、水素ガスを使用して、熱酸化膜などの膜において特定の特性を改善し、漏電を低減し、破壊電圧を向上することが可能となる。アルゴンガスを約5slm~約50slmの範囲の流量で送達し、酸素ガスを約500sccm~約10000sccmの範囲の流量で送達し、ここでは、アルゴンと酸素との比は約50:1~約1:10の範囲、約50:1~約100:1の範囲、又は約36:1であり、4ステーションツールで約3000W~約6500Wの範囲の、又は4ステーションツールで約5000Wのプラズマ出力を用い、プラズマを燃焼させる。チャンバ圧力は約1Torr~約5Torrに設定してもよく、断続的プラズマ処理は約0.1秒~約30秒の範囲の継続時間で行うことが可能である。プラズマ出力とチャンバ圧力とのこの特定の組み合わせは漏電の低減及び破壊電圧の向上に寄与する。
堆積膜の電気特性を調節する非限定的な1例では、断続的プラズマ処理はアルゴン、酸素、及び亜酸化窒素の混合物を導入することにより行うことが可能であり、ここでは、アルゴンの流量と酸素の流量との比は約50:1~約1:1の範囲であり、アルゴンの流量と亜酸化窒素の流量との比は約10:1~約20:1の範囲である。
断続的プラズマ処理の継続時間が長いほど、ウェットエッチ速度は低い。様々な実施形態では、このような断続的プラズマ処理は、ALDの15サイクルごとに、又はALDの15サイクル未満ごとに行う。
膜の不純物(例えば、炭素原子、窒素原子、及び/又はフッ素原子の不純物)を調節するために、断続的プラズマ処理は、アルゴン、水素、及び場合により酸素ガスの混合物を導入することにより行うことが可能である。膜の不純物は、堆積したままの膜のIRスペクトルを得ることで測定できる。
膜不純物を調節する非限定的な1例では、断続的プラズマ処理は、アルゴン、水素、及び酸素ガスの混合物を導入することにより行うことが可能であり、ここでは、アルゴンの流量と水素の流量との比は約50:1~約1:1の範囲であり、アルゴンの流量と酸素の流量との比は約50:1~約1:1の範囲である。
膜不純物を調節する非限定的な1例では、断続的プラズマ処理は、アルゴン、酸素、亜酸化窒素、及びヘリウムの混合物を導入することにより行うことが可能であり、ここでは、アルゴンと酸素との流量の比は約50:1~約1:1の範囲であり、アルゴンの流量と亜酸化窒素の流量との比は約10:1~約20:1の範囲であり、アルゴンの流量とヘリウムの流量との比は約10:1~約20:1の範囲である。
堆積膜の元素組成を調節するために、断続的プラズマ処理は、フッ素含有ガスを用いた阻害又は不動態化操作と組み合わせて行うことが可能である。阻害又は不動態化操作により、ALDの堆積充填プロセスをより制御できる。例示的なプロセスの詳細は、図2の操作260を参照して後述する。断続的プラズマ処理は、プロセスガス混合物を導入することにより行うことが可能である。
処理ガス混合物は、ヘリウムと、アルゴン、酸素、及び水素ガスのうちの1種以上とを含んでもよい。ヘリウムの流量は約1000sccm~約10000sccmの範囲であってもよく、アルゴンの流量は約500sccm~約20000sccmの範囲であってもよく、酸素の流量は約1000sccm~約10000sccmの範囲であってもよく、水素の流量は約500sccm~約5000sccmの範囲であってもよい。処理ガス混合物を導入し、プラズマを、4ステーションツールで、4ステーションツールで約1000W~約1500Wの範囲のプラズマ出力を用いて燃焼させる。チャンバ圧力は、約5Torr~約10Torr、又は約6Torrに設定してもよく、断続的プラズマ処理は、約1秒~約10秒の範囲の継続時間、行うことが可能である。
処理ガス混合物は、アルゴン、水素、及び酸素を含んでもよく、Ar:H2:O2のガス流量比は約50:1:1~約1:1:1、例えば約5:1:1であり、亜酸化窒素又はヘリウムガスは含まない。
処理ガス混合物は、アルゴン、亜酸化窒素、及びヘリウムを含んでもよく、Ar:N2O:Heのガス流量比は約1:1:0.2~約0.2:0.5:0.2、例えば約0.2:0.5:0であり、水素又は酸素ガスは含まない。
材料を隙間なく充填するために、アルゴン及び酸素ガスの混合物を導入することにより断続的プラズマ処理を行うことが可能である。アルゴンガスは、約10slm~約150sccmの範囲の流量、又は約50slmの流量で送達可能であり、酸素ガスは、約1slm~約10slmの範囲の流量で、アルゴンと酸素との比が約1.5:1で送達可能であり、4ステーションツールで約1000W~約2000Wの範囲のプラズマ出力、又は4ステーションツールで約1200Wのプラズマ出力を用いてプラズマを燃焼させる。チャンバ圧力は約1Torr~約10Torrに設定してもよく、断続的プラズマ処理は、約1秒~約20秒の範囲、又は約5秒~約10秒の範囲の継続時間、行うことが可能である。
操作150では、操作110~140を繰り返してもよい。即ち、操作150は、ALDのnサイクルごとに実行し、ALD及び断続的プラズマ処理のnサイクル全体を繰り返すことが可能である。開示した実施形態は、ALDにより、ケイ素の酸化物、窒化物、及び炭化物などの任意の材料を堆積するために適している。
本明細書では、原位置で調節するALDプロセスサイクルの更なる例を提供する。図2は、断続的プラズマ処理、及びいくつかの実施形態で使用する場合もある任意の阻害操作を行うALDサイクルを含むプロセスサイクルの例を示す。図3は、任意の阻害操作を行わない図2のタイミング模式図の例に対応し;図3のタイミング模式図は、ALDサイクル及び周期的な断続的プラズマ処理を1回繰り返す場合の様々なパルス及びフローを示す。
本明細書の実施形態は酸化ケイ素の堆積に関して説明しているが、同様のプロセスを他のケイ素含有膜の堆積に使用してもよいことは理解されているものとする。
図2の操作211では、基板を堆積物前駆体に曝露する。様々な実施形態では、基板は、堆積物前駆体への曝露に先立ってチャンバに投入し、堆積物前駆体をチャンバに導入して基板が堆積物前駆体に曝露されるようにする。様々な実施形態では、チャンバ自体は、図4を参照して更に以下に説明するコントローラを備え、このコントローラは、基板が収容されるチャンバへ堆積物前駆体を送達させるコンピュータ可読な指示を出す。
基板は、半導体基板であってもよい。基板はケイ素ウェハ、例えば200mmウェハ、300mmウェハ、又は450mmウェハであってもよく、基板上に堆積した誘電性材料、導電性材料、又は半導電性材料などの材料の1層以上の層を有するウェハが挙げられる。様々な実施形態では、基板をパターニングする。パターニングした基板は、ビア孔や接触孔などの「フィーチャ」を有していてもよく、これは、狭い開口及び/又は再入型開口、フィーチャ内の狭窄部、並びに高アスペクト比を含む様々なアスペクト比のうちの1つ以上を特徴とする。1つ以上のフィーチャは、上述の層の1層以上の層に形成してもよい。フィーチャの1例は、半導体基板、又は基板上の層における孔又はビアである。別の例は、基板又は層における溝である。様々な実施形態では、フィーチャは、バリア層や接着層などの下地層を有してもよい。下地層の非限定的な例としては、誘電層や導電層、例えば、酸化ケイ素、窒化ケイ素、炭化ケイ素、金属酸化物、金属窒化物、金属炭化物、及び金属層が挙げられる。
いくつかの実施形態では、フィーチャは、少なくとも約1:1、少なくとも約2:1、少なくとも約4:1、少なくとも約6:1、少なくとも約10:1、又はそれ以上のアスペクト比を有していてもよい。また、フィーチャは、約10nm~10μmの範囲、例えば約25nm~約1μmの範囲の開口部付近の寸法、例えば開口直径又は線幅を有していてもよい。開示した方法は、約250nm未満の開口を有するフィーチャを備えた基板上で行ってもよい。ビア、溝、又は他の凹状のフィーチャは、未充填のフィーチャ、又はフィーチャと称してもよい。様々な実施形態によれば、フィーチャの外形は、徐々に狭くなり、及び/又はフィーチャ開口に突出部分を含んでもよい。再入型外形とは、フィーチャの底部、閉端部、又は内部から、フィーチャ開口に向かって狭くなる外形である。再入型外形は、パターニング中の非対称なエッチ速度、及び/又は、拡散バリアの堆積などの事前の膜堆積における非共形膜段差被覆による突出により形成してもよい。様々な例では、フィーチャでは、フィーチャの上部の開口部の幅がフィーチャの底部の幅より小さくてもよい。
操作211では、基板を堆積物前駆体に曝露する。様々な実施形態では、基板の表面に堆積物前駆体を吸着させるに十分な継続時間で基板を曝露する。いくつかの実施形態では、基板表面の100%未満を飽和させるに十分な継続時間で、基板を前駆体に曝露してもよい。例となる継続時間は、ウェハ化学物質、前駆体の種類、前駆体の流量、ウェハ上のパターン、及び他の要素に応じて変わる。継続時間は材料の所望の特性に応じて選択してもよい。いくつかの実施形態では、操作211中、約5秒未満、又は約0.05秒~約3秒間の継続時間で、基板を曝露してもよい。酸化物の堆積では、ドーズ時間は約0.05秒~約0.5秒の範囲であってもよい。堆積物前駆体は、堆積する材料の種類に応じて選択する。例えば、窒化ケイ素又は酸化ケイ素の膜を堆積するために、操作211中にケイ素含有前駆体を使用してもよい。
操作211では、1種以上のプロセスガスを導入してもよい。いくつかの実施形態では、堆積前駆体は、シランなどのケイ素含有前駆体である。本明細書に記載の特定の方法において使用するケイ素含有前駆体は以下の構造を有してもよく:
Figure 2022534793000002
式中、R1、R2、R3は同一又は異なる置換基であってもよく、シラン、アミン、ハロゲン化物、水素、又はアルキルアミン基、アルコキシ基、アルキル基、アルケニル基、アルキニル基、及び芳香族基などの有機基が挙げられる。
ケイ素含有前駆体の例としては、以下のポリシラン(H3Si‐(SiH2)n‐SiH3)が挙げられ、式中、n>1であり、例えば、シラン、ジシラン、トリシラン、テトラシラン;及びトリシリルアミンが挙げられる。
Figure 2022534793000003
いくつかの実施形態では、ケイ素含有前駆体は、アルコキシシランである。使用してもよいアルコキシシランは以下の基を含むが、これらに限定されるものではない:
x-Si-(OR)yであって、式中、x=1~3、x+y=4であり、Rは置換又は非置換アルキル基であり;
x(RO)y-Si-Si-(OR)yxであって、式中、x=1~2、x+y=3であり、Rは置換又は非置換アルキル基である。
ケイ素含有前駆体の例としては、以下のものが挙げられる:メチルシラン;トリメチルシラン(3MS);エチルシラン;ブタシラン;ペンタシラン;オクタシラン;ヘプタシラン;ヘキサシラン;シクロブタシラン;シクロヘプタシラン;シクロヘキサシラン;シクロオクタシラン;シクロペンタシラン;1,4‐ジオキサ‐2,3,5,6‐テトラシラシクロヘキサン;ジエトキシメチルシラン(DEMS);ジエトキシシラン(DES);ジメトキシメチルシラン;ジメトキシシラン(DMOS);メチル‐ジエトキシシラン(MDES);メチル‐ジメトキシシラン(MDMS);オクタメトキシドデカシロキサン(OMODDS);tert‐ブトキシジシラン;テトラメチルシクロテトラシロキサン(TMCTS);テトラオキシメチルシクロテトラシロキサン(TOMCTS);トリエトキシシラン(TES);トリエトキシシロキサン(TRIES);及びトリメトキシシラン(TMS又はTriMOS)。
いくつかの実施形態では、ケイ素含有前駆体は、水素原子を有するアミノシラン、例えば、ビスジエチルアミノシラン、ジイソプロピルアミノシラン、tert‐ブチルアミノシラン(BTBAS)、又はトリス(ジメチルアミノ)シランであってもよい。アミノシラン前駆体としては、限定するものではないが:Hx-Si-(NR)yが挙げられ、式中、x=0~3、x+y=4であり、Rは有機基又は水素化物基である。いくつかの実施形態では、ケイ素含有前駆体は、テトラキス(ジメチルアミノ)シラン(4DMAS)などのテトラキスアミノシランである。
いくつかの実施形態では、シランが少なくとも1つの水素原子を含むようにハロゲン含有シランを使用してもよい。このようなシランは化学式SiXayを有してもよく、式中、y≧1である。例えば、ジクロロシラン(H2SiCl2)をいくつかの実施形態で使用してもよい。
ケイ素含有前駆体に加えて、アルゴン、窒素、ヘリウム、水素、又はこれらの組み合わせなどの不活性ガスを含む1種以上の他のガスも流してよい。様々な実施形態では、アルゴンガスは約1slm~約20slmの範囲の流量で導入してもよい。いくつかの実施形態では、窒素ガスは約0slm~約30slmの範囲の流量で導入する(0slmとは窒素ガスを流さないことを指すという理解が求められる)。いくつかの実施形態では、水素ガスは、約0slm~約5slmの範囲の流量で導入する(0slmとは水素ガスを流さないことを指すという理解が求められる)。操作211では、チャンバ圧力は約0.6Torr~約10Torrの範囲にあってもよく、処理する基板を保持する台座は、約150℃~約650℃の範囲、又は約150℃~約550℃の範囲、又は約200℃~約650℃の範囲、又は約550℃~約650℃の範囲の温度に設定してもよい。
操作212では、基板の表面に吸着しなかった気相の過剰な前駆体分子を除去するために、基板を収容するチャンバを任意にパージする。パージは、パージガス又は掃引ガスを含んでもよく、このガスは、他の操作で使用するキャリアガス、又は異なるガスであってもよい。いくつかの実施形態では、パージガスは、窒素、アルゴン、又は他の不活性ガス、酸素、亜酸化窒素、不活性ガスの組み合わせ、又はこれらの組み合わせであってもよい。いくつかの実施形態では、アルゴンガスを約1slm~約20slmの範囲の流量で導入し、窒素ガスを約0slm~約30slmの範囲の流量で流し、水素ガスを約0slm~約5slmの範囲の流量で流し、いくつかの実施形態では、一部の酸素も、0.5slm~約5slmの範囲の流量で流してもよい。いくつかの実施形態では、亜酸化窒素を約0slm~約5slmの範囲の流量で流してもよい。
いくつかの実施形態では、パージガスは、前駆体ドーズ中に使用するキャリアガスと同じ化学物質である。いくつかの実施形態では、パージガスは、以下に更に説明するようなプラズマ操作中に流すガスと同じガスである。いくつかの実施形態では、パージガスは、キャリアガスを流すガス源と同じガス源から流す。いくつかの実施形態では、パージはステーションを真空脱気する工程を含んでもよい。いくつかの実施形態では、パージは、プロセスステーションを真空脱気するための1工程以上の真空脱気パージを含んでもよい。いくつかの実施形態では、パージは約0秒~約60秒の範囲、又は約0秒~約0.8秒の範囲など、任意の好適な継続時間で行ってもよい。いくつかの実施形態では、1種以上の掃引ガスの流量を増加させることにより、パージの継続時間を短縮してもよい。例えば、操作212の継続時間を変更するために、プロセスステーション及び/又はプロセスステーション配管系統の様々な反応物の熱力学的特性及び/又は幾何学的特性に従って、パージガス流量を調整してもよい。非限定的な1例では、掃引ガス流量を調節することにより、掃引段階の継続時間を調整してもよい。これにより、堆積サイクル時間が短縮され、基板処理量が向上する可能性がある。パージの後、一部の前駆体分子が基板表面に吸着されたままになっていてもよい。
操作212中のチャンバ圧力は、約0.6Torr~約10Torrの範囲であってもよく、いくつかの実施形態では、操作211と同じであってもよい。台座温度は約50℃~約650℃の範囲、又は約50℃~約550℃の範囲、約150℃~約650℃の範囲、又は約150℃~約550℃の範囲、又は約200℃~約650℃の範囲、又は約550℃~約650℃の範囲の温度に設定してもよい。いくつかの実施形態では、温度は操作211と同じである。
操作213では、基板を第2反応物プラズマに曝露する。この第2反応物プラズマは第2反応物を導入し、プラズマを燃焼させることにより発生させてもよい。様々な実施形態では、第2反応物は酸素含有反応物である。酸素含有反応物は、酸素、亜酸化窒素、水、二酸化炭素、又はこれらの組み合わせであってもよい。基板は、反応を触媒するためにプラズマを燃焼させている間に、前駆体と反応して基板表面に材料を形成できる第2反応物に曝露してもよい。第2反応物は、堆積させる膜の種類に応じて選択してもよい。酸化ケイ素膜の場合、酸化ケイ素膜を堆積させるために、操作213でプラズマを燃焼させている間に、基板を酸素含有反応物又は酸化剤に曝露してもよい。様々な実施形態では、第2反応物は酸素ガスである。いくつかの実施形態では、第2反応物は酸素ガスと亜酸化窒素ガスとの混合物などの2種以上のガスを含む。
いくつかの実施形態では、アルゴンガスを約1slm~約20slmの範囲の流量で導入し、窒素ガスを約0slm~約30slmの範囲の流量で流し、水素ガスを約0slm~約5slmの範囲の流量で流し、いくつかの実施形態では、一部の酸素も、0.5slm~約5slmの範囲の流量で流してもよい。いくつかの実施形態では、亜酸化窒素も約0slm~約5slmの範囲の流量で流してもよい。
堆積前駆体の吸着層と反応するイオンやラジカル、及び他の活性種へと第2反応物を活性化するために、プラズマエネルギーを提供してもよい。様々な実施形態では、プラズマは、チャンバ内の基板表面の真上にプラズマが形成されるような、原位置プラズマである。原位置プラズマは、約0.2122W/cm2~約2.122W/cm2の範囲、又は約0.4421W/cm2~約1.7684W/cm2の範囲の基板面積当たりの出力で燃焼させてもよい。例えば、単一のウェハに対する出力は約150W~約6000W、又は約500W~約6000W、又は約600W~約6000W、又は約800W~約4000W、又は約310W~約1250Wの範囲にしてもよい。4枚の300mmウェハに対する出力は、約150W~約6000W、又は約1250W~約5000Wの範囲であってもよい。本明細書に記載の範囲はその端点を含む。
ALDプロセスのプラズマは、2つの容量結合型プレートを用いてガスに無線周波数(RF)の電界を印加することで発生させてもよい。RF電界によりプレート間のガスがイオン化すると、プラズマが燃焼し、プラズマ放電領域に自由電子が形成される。これらの電子はRF電界により加速し、また気相反応物分子と衝突する場合もある。これらの電子が反応物分子と衝突することで、堆積プロセスに関与するラジカル種が形成される可能性がある。RF電界は、任意の好適な電極を介して結合してもよいことは理解されているものとする。様々な実施形態では、高周波プラズマは、少なくとも約13.56MHz、又は少なくとも約27MHz、又は少なくとも約40MHz、又は少なくとも約60MHzの周波数で使用する。いくつかの実施形態では、マイクロ波をベースとするプラズマを使用してもよい。電極の非限定的な例としては、プロセスガス散布シャワーヘッド及び基板支持台座が挙げられる。ALDプロセスのプラズマは、RF電界とガスとの容量結合以外の1つ以上の好適な方法により形成してもよいことは理解されているものとする。いくつかの実施形態では、プラズマは遠隔プラズマであり、それにより、第2反応物はチャンバの上流にある遠隔プラズマ発生装置内で燃焼し、その後、基板が収容されるチャンバに送られる。
操作213中のチャンバ圧力は約0.6Torr~約10Torrの範囲であってもよく、いくつかの実施形態では、操作211及び212と同じであってもよい。台座の温度は約50℃~約650℃の範囲、約150℃~約650℃の範囲、又は約150℃~約550℃の範囲、又は約200℃~約650℃の範囲、又は約550℃~約650℃の範囲の温度に設定してもよい。いくつかの実施形態では、温度は、操作211及び212と同じである。
操作214では、チャンバを任意に再度パージする。いくつかの実施形態のパージ条件は、操作212におけるパージ条件と同じであってもよい。いくつかの実施形態では、パージ条件を変えてもよい。本例の目的のために、パージ条件は操作212と同じであってもよい。
操作215では、操作211~214を任意にnサイクルで繰り返す。ここでは、nは1以上の整数であり、例えば1~200サイクルの範囲である。サイクルの回数は、堆積する膜の所望の厚さに応じて変えてもよい。操作211~214は1つのALDサイクルを構成してもよい。
操作240では、基板を断続的プラズマ処理に供する。前記断続的プラズマ処理は、図1の操作140について上述したプロセス条件及び化学物質を用いて行ってもよい。いくつかの実施形態では。様々な実施形態では、断続的プラズマ処理は、特定の用途に応じて、ALDの5サイクル~10サイクルごとに行う。例えば、いくつかの実施形態では、ALDの10サイクルごとに断続的プラズマ処理を行うことにより、膜応力、フッ素含有量、及び破壊電圧を調節できる。いくつかの実施形態では、ALDの5サイクルごとに断続的プラズマ処理を行うことにより、ウェットエッチ速度を向上できる。
処理継続時間、ALDサイクル数当たりの処理回数、及び処理中に使用するガス流量を含む、断続的プラズマ処理のプロセス条件を調節し、応力の低減、ウェットエッチ速度の低減、フッ素含有量の低減、比誘電率k値の低減、及び破壊電圧耐性の向上などの所望の結果を達成できる。得られたウェットエッチ速度は、100:1のHFで約40Å/分未満、又は約30Å/分と低くてもよい。
いくつかの実施形態では、アルゴンガスを約1slm~約20slmの範囲の流量で導入し、窒素ガスを約0slm~約30slmの範囲の流量で流し、水素ガスを約0slm~約5slmの範囲の流量で流し、いくつかの実施形態では、一部の酸素も、0.5slm~約5slmの範囲の流量で流してもよい。いくつかの実施形態では、亜酸化窒素を約0slm~約5slmの範囲の流量で流してもよい。
操作240中のチャンバ圧力は約0.6Torr~約10Torrの範囲であってもよく、いくつかの実施形態では、操作211~214と同じであってもよい。いくつかの実施形態では、操作240中のチャンバ圧力は約2Torr~約10Torrの範囲、又は約2Torr~約6Torrの範囲である。台座温度は約50℃~約650℃の範囲、約150℃~約650℃の範囲、又は約150℃~約550℃の範囲、又は約200℃~約650℃の範囲、又は約550℃~約650℃の範囲の温度に設定してもよい。いくつかの実施形態では、温度は、操作211~214と同じである。
操作240の継続時間は、約0.02秒~約120秒の範囲であってもよい。
いくつかの実施形態では、ALDと断続的プラズマ処理とを組み合わせて阻害することにより、小さいフィーチャの堆積を改善するために断続的プラズマ処理を利用できる。このような実施形態では、操作260において、基板を任意にフッ素含有プラズマ種に曝露し、堆積を阻害する。例示的なプロセススキームは、基板上のフィーチャを充填するために以下のようであってもよい:基板をケイ素含有前駆体と酸素含有反応物とを交互にパルスさせることによりALDを行って、フィーチャを部分的に充填する酸化ケイ素を堆積させ、その後、堆積したALD堆積膜に断続的プラズマ処理を行い、次いで、基板をフッ素プラズマから発生した1回量のフッ素イオン及びラジカルに曝露することによりフィーチャの開口部又はその近傍の表面を阻害し、以降のALDサイクルでの堆積を防止するフッ素終端ケイ素表面を形成し、その後、上記のプロセススキームをサイクル(複数回のALDサイクル、次いで断続的プラズマ処理、次いで阻害)で繰り返し、フィーチャに酸化ケイ素を堆積する。
図2に示すように、操作211~240を任意に繰り返してもよく、操作211~260(操作211~214の複数のサイクルを含んでもよい)を複数のサイクルで繰り返してもよい。
阻害を含む実施形態における断続的プラズマ処理は、フッ素含有イオン及びラジカルへの曝露からの阻害の程度を制御するために利用してもよく;即ち、特に、阻害が堆積を遅らせすぎる可能性があるがそれでもフィーチャの底上げ充填を確実にするために疎外を利用してもよい実施形態では、断続的プラズマ処理は阻害のバランスをとり、部位をより活性的にして、堆積プロセスを微調整するための追加の足掛かりを提供できる。
図3は、フィーチャの充填塗布の阻害を含む堆積スキームを有するプロセスを示す例示的なタイミング模式図である。プロセス300は、堆積段階310における複数の堆積サイクル、その後の周期的な断続的プラズマ処理段階340、及び任意の阻害段階360を含む1つの完全なサイクルを示す。線は、流動及び/又はプラズマが適宜ONとOFFに切り替わるときを示す。特定のプロセス条件を図3に示しているが、堆積する膜の特定の用途に応じて、他のプロセスパラメータも調節してよいことは理解されているものとする。また、図3の例は阻害段階360を含んでいるが、このような段階は特定の実施形態では無くてもよいことも理解されているものとする。図3のプロセス300は1サイクルしか含んでいないが、示されたサイクルを複数のサイクルで繰り返して膜を堆積させてもよいことは理解されているものとする。
図3の堆積段階310は、図1の操作110、120、又は130のいずれか1つに対応してもよく、又は図2の操作211~214の実行に対応してもよい。堆積段階310の間、不活性ガスを流してもよく、ケイ素前駆体と酸素含有反応物とを交互にパルスさせながら、酸素含有反応物のパルス中にプラズマを発生させる。この操作中、阻害ガスの流れはOFFにする。堆積段階では多くのパルスが現れているが、堆積する膜に応じてパルスの数が変わってもよいことは理解されているものとする。
周期的な断続的プラズマ処理段階340では、不活性ガスは流れ続けてもよいが、ケイ素前駆体ガス流及び酸素含有ガス流はOFFにする。プラズマをONにし、不活性ガスの存在下で連続的に燃焼させて堆積膜を処理し、阻害ガス流はOFFのままにする。
任意の阻害段階360では、不活性ガスは、いくつかの実施形態において、OFFにしてもよい(ただし、いくつかの例では、不活性ガス流はONのままでよいことは理解されているものとする)。ケイ素前駆体流及び酸素含有ガス流はOFFのままであり、プラズマはOFFにする。ここではその後、阻害ガス流をONにする。
図示していないが、プロセス300に示すサイクルは、必要に応じて複数のサイクルで繰り返してもよい。
酸化ケイ素について説明したが、開示した複数の実施形態は等しくドープ酸化ケイ素、n型半導体、p型半導体、酸窒化ケイ素、ホウ素ドープ酸化ケイ素、及び他の材料を形成することに適用可能であることは理解されているものとする。
本出願では、用語「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、及び「部分的に作製した集積回路」は互換的に使用する。当業者であれば、用語「部分的に作製した集積回路」が、集積回路を作製する多数の段階のうちのいずれかの段階におけるシリコンウェハを意味し得ることは理解しているものとする。半導体素子産業で使用されるウェハ又は基板の直径は通常、200mm、又は300mm、又は450mmである。別段の記載がない限り、本明細書に記載の加工の詳細(例えば、流量、出力レベル等)は、直径300mmの基板の加工、又は直径300mmの基板を加工するように構成したチャンバの処理に関連するものであるが、他の寸法の基板又はチャンバに対して適宜、寸法調整できる。
(装置)
図4は、プロセスチャンバ本体402を有する原子層堆積(ALD)プロセスステーション400の実施形態の模式図である。ALDプロセスステーション400は、いくつかの実施形態では、低圧環境での基板の加工に適合させてもよい。いくつかの実施形態では、以下で詳細に説明するものを含むALDプロセスステーション400の1つ以上のハードウェアパラメータ値は、1つ以上のコンピュータコントローラ450によりプログラム中で調整してもよい。様々な実施形態では、断続的プラズマ処理は、本明細書に記載しているようにALDプロセスステーションにおける膜の堆積後に原位置で実行する。パラメータ値の変動は、決定した方式で、又はリアルタイムのフィードバックに基づいて行ってもよい。追加の例及び更なる実施形態を以下に示す。
ALDプロセスステーション400は、プロセスガスを散布シャワーヘッド406に送達するための反応物送達システム401aと流体的に連通する。反応物送達システム401aは、シャワーヘッド406へ送達するためにプロセスガスを混合及び/又は調整するための混合容器404を備える。例えば、反応物送達システム401aは、以下に説明するように、質量流量コントローラ及び液体流量コントローラを備えてもよい。1つ以上の混合容器流入口弁420は、混合容器404へのプロセスガスの導入を制御してもよい。様々な実施形態では、シャワーヘッド406又はプロセスチャンバ402への1種以上のプロセスガスの送達は、サイクル間で変えてもよい。例えば、1種以上のプロセスガスをドーズする継続時間は変えてもよい。開示した実施形態では、コントローラ450は、1つ以上の流入口弁420を制御することにより1種以上のプロセスガスの送達を制御してもよい。
1例として、図4の実施形態は、混合容器404に供給する液体反応物を気化させるための気化箇所403を備える。いくつかの実施形態では、気化箇所403は加熱した気化器であってもよい。このような気化器から生成した飽和反応物蒸気は、下流送達配管で凝縮する可能性がある。凝縮した反応物へ不適合なガスが曝露すると、小粒子が生じる可能性がある。これらの小粒子は、配管の閉塞、弁動作の妨害、基板の汚染等を招く可能性がある。これらの問題に対処するためのいくつかのアプローチとしては、残留反応物除去のための送達配管のパージ及び/又は真空脱気が挙げられる。しかし、送達配管のパージは、プロセスステーションのサイクル時間を増加させ、プロセスステーションの処理量を劣化させる可能性がある。従って、いくつかの実施形態では、気化箇所403の下流にある送達配管にヒートトレースを行ってもよい。いくつかの例では、混合容器404にもヒートトレースを行ってよい。非限定的な1例では、気化箇所403の下流の配管は、混合容器404中で約30℃から約150℃まで上昇する上昇温度プロファイルを有する。
いくつかの実施形態では、液体前駆体又は液体反応物を液体注入器で気化してもよい。例えば、液体注入器は、液体反応物のパルスを混合容器の上流のキャリアガス流に注入してもよい。1実施形態では、液体注入器は、液体を高圧から低圧にフラッシュさせることにより反応物を気化させてもよい。別の例では、液体注入器は液体を分散微小液滴へと気化してもよく、その後、微小液滴は加熱送達管中で気化する。小さめの液滴は大きめ液滴よりも速く気化する可能性があり、液体の注入から完全な気化までの遅延を解消できる。気化が速ければ、気化箇所403からの下流の配管の長さを削減できる。ある計画では、液体注入器は混合容器404に直接搭載してもよい。別の計画では、液体注入器はシャワーヘッド406に直接搭載してもよい。
いくつかの実施形態では、気化やプロセスステーション400への送達用の液体の質量流量を制御するために、気化箇所403の上流に液体流量コントローラ(LFC)を備えてもよい。例えば、LFCは、LFCの下流に位置する熱式質量流量計(MFM)を備えてもよい。次いで、LFCのプランジャ弁を、MFMと電気的に連通する比例積分微分(PID)コントローラにより提供されるフィードバック制御信号に応じて調整してもよい。しかし、フィードバック制御を用いて液体の流動を安定させるには、1秒以上かかる場合がある。これにより、液体反応物をドーズする時間が長くなる可能性がある。従って、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えてもよい。いくつかの実施形態では、この切り替えはLFC及びPIDコントローラのセンス管を無効にすることにより行ってもよい。
いくつかの実施形態では、フローオーバー気化プロセスを利用してもよい。このようなプロセスの考察は、2018年9月20日出願の米国特許出願公開第2019/0024233号、名称「DYNAMIC PRECURSOR DOSING FOR ATOMIC LAYER DEPOSITION」に記載されている。フローオーバー気化プロセスのいくつかの実施形態では、弁操作のタイミングは、ライン充電時間、吸着時間、又は他の時間を利用して設定してもよい。更に、弁操作の基準フレームは、ドーズ工程の開始、ドーズ工程の停止、ドーズ工程中の任意の他の事象、及び/又はALDサイクル中の任意の事象であってもよい。
いくつかの実装では、ガスラインを通って送られ得る前駆体の量は、所望の吸着量に必要な量より多くてもよい。この追加の前駆体はドーズ工程の開始前、ドーズ工程の後、及び/又は所望の吸着/飽和が達成された後に到着するように送ってもよい。いくつかのこのような実装では、一部の前駆体は、ドーズ工程の前及び/又は後にフォアラインに廃棄してもよい。いくつかのこのような実装では、アンプル弁を開いていてもよい継続時間、アンプル弁を開く及び/又は閉じてもよいタイミング、チャンバ弁を開いていてもよい継続時間、及び/又はチャンバ弁を開いてもよいタイミングは変化してもよい。ただし、廃棄物を減らすために、過剰な前駆体は、吸着/飽和に必要な量の約20%以下(又は約10%以下)に制限してもよい。
更に、いくつかの実装では、各事象(例えば、アンプル弁の開放、チャンバ弁の閉鎖)は、意図した時点で正確に発生しない場合もある。
更に、弁のタイミングは、ライン充電時間及び/又は吸着時間と正確には等しくない場合もある。また、弁の開閉にかかる時間など、システムの遅延を考慮したタイミングの遅れがあってもよい。いくつかの実施形態では、25ミリ秒のタイミング遅延があってもよいALD弁を使用してもよい。また、本明細書の実装中、許容誤差があってもよく、これは25ミリ秒であってもよい。
いくつかの実施形態では、各サイクルで望まれる1サイクル当たりの前駆体と全く同じモル数の前駆体を送達するために、動的フィードバックループを形成してもよく、このモル数は吸着量未満、同等、又はそれを超えてもよい。本明細書に記載されているようなフローオーバー気化システムで送達する前駆体の量は、アンプル温度、ヘッドスペース圧力、及び押出しガス流量などのいくつかの要因に応じて変えてもよい。これらのパラメータの1つ以上が堆積周期中に意図した設定点から逸脱した場合(例えば、アンプル内の前駆体量の変化により)、それにつれてアンプル弁開放時間当たりの送達前駆体量も逸脱する。フィードバックループは、特に、例えばアンプルの熱電対、圧力計、及びマスフローコントローラ(MFC)からのセンサデータ(例えば測定値)、及びコントローラにより形成してもよい。1例では、圧力計(例えば、マノメータ)を、プロセスチャンバの流入口に(例えば、流入口弁420の1つにより)配置する。プロセスチャンバにおける前駆体の到着は、圧力計により検出した圧力変動により信号伝達してもよい。このようなフィードバックループに基づいてアンプル開閉タイミングを動的に制御することにより、前駆体の送達はより強固になり、サイクルごとに反復可能になる。測定、及び/又は調整は、サイクル内の工程間、サイクル間、及び/又はプロセス間のドーズ/堆積工程中に行ってもよい。
シャワーヘッド406は基板412にプロセスガスを散布する。図4に示す実施形態では、基板412はシャワーヘッド406の下に位置し、台座408上に載っている状態で示している。シャワーヘッド406は、任意の好適な形状を有してもよく、基板412にプロセスガスを散布するために任意の好適な数及び配置のポートを有してもよい。
いくつかの実施形態では、マイクロボリューム407がシャワーヘッド406の下に位置する。開示した実施形態を、プロセスステーションの全体積ではなく微量で実施して、反応物曝露及びパージ時間を低減し、プロセス条件(例えば、圧力、温度等)を変更する時間を低減し、プロセスガス等へのプロセスステーションロボット系の曝露を制限してもよい。マイクロボリュームの寸法の例としては、0.1リットル~2リットルの範囲の容積が挙げられるが、これに限定されるものではない。これは、生産性の処理量にも影響する。いくつかの実施形態では、開示した実施形態はマイクロボリュームで実施しない。
いくつかの実施形態では、基板412をマイクロボリューム407に露出するため、及び/又はマイクロボリューム407の容積を変えるために、台座408を上昇又は下降させてもよい。例えば、基板転写段階において、基板412をマイクロボリューム407内に位置付けるために台座408を上昇させてもよい。いくつかの実施形態では、マイクロボリューム407は基板412のみならず台座408の一部を完全に取り囲み、高フローインピーダンス領域を形成してもよい。
任意で、マイクロボリューム407内のプロセス圧力、反応物濃度等を調節するために、プロセスの一部において台座408を下降及び/又は上昇させてもよい。プロセス中にプロセスチャンバ本体402が基準圧力に留まる1つの計画では、台座408を下げることによりマイクロボリューム407を真空脱気してもよい。マイクロボリュームとプロセスチャンバとの容積の例示的な比率は1:500~1:10の範囲の容積比であるが、これに限定されるものではない。いくつかの実施形態では、台座の高さは、適切なコンピュータコントローラ450によりプログラム中で調整してもよいことは理解されているものとする。いくつかの実施形態では、台座408の位置はサイクル間で変えてもよい。例えば、あるALDサイクルでは台座408を上昇させてもよく、またあるALDイクルでは台座408を下降させてもよい。本明細書に記載されるような変動は、リアルタイムのフィードバック又は決定したレシピに応じて変えてもよい。
別の計画では、台座408の高さを調整して、開示したマルチサイクルALDプロセスに含まれるプラズマ活性化及び/又は堆積サイクルの間にプラズマ密度を変化させてもよい。プロセス段階の終了時に、台座408は別の基板転写段階中に下降させ、台座408から基板412を外してもよい。
本明細書に記載の例示的なマイクロボリュームの変形例とは、高さ調整可能な台座を指し、いくつかの実施形態では、シャワーヘッド406の位置を台座408に対して調整し、マイクロボリューム407の容量を変化させてもよいことは理解されているものとする。更に、台座408及び/又はシャワーヘッド406の垂直位置は本開示の範囲内の任意の好適な機序により変化させてもよいことは理解されているものとする。いくつかの実施形態では、台座408は基板412の向きを回転させるための回転軸を備えてもよい。いくつかの実施形態では、これらの例示的な調整のうちの1つ以上の調整を1つ以上の好適なコンピュータコントローラ450によりプログラム中で実行してもよいことは理解されているものとする。
上述したようにプラズマを使用してもよいいくつかの実施形態では、シャワーヘッド406及び台座408は、プラズマに出力供給するための無線周波数(RF)電源414及び整合ネットワーク416と電気的に連通する。いくつかの実施形態では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源出力、RF源周波数、及びプラズマ出力パルスタイミングのうちの1つ以上を制御することにより制御してもよい。このようなパラメータ値は、本明細書に記載されるようなマルチサイクルALDプロセスにおいて、ALDサイクル間で変化させてもよい。例えば、RF電源414及び整合ネットワーク416は、1サイクル以上のALDサイクル中にラジカル種の所望の組成を有するプラズマを形成するために、任意の好適な出力で操作してもよい。好適な出力の例は上記に含まれる。同様に、RF電源414により、任意の好適な周波数のRF出力を提供してもよい。いくつかの実施形態では、RF電源414は、高周波及び低周波のRF電源を互いに独立して制御するように構成してもよい。例示的な低周波RF周波数には、50kHz~500kHzの範囲の周波数が挙げられるが、これに限定されるものではない。例示的な高周波RF周波数には、1.8MHz~2.45GHzの範囲の周波数が挙げられるが、これに限定されるものではない。表面反応のためのプラズマエネルギーを提供するために、任意の好適なパラメータ値を離散的又は連続的に調節してもよいことは理解されているものとする。非限定的な1例では、プラズマ出力は、連続的に出力供給されたプラズマと比較して、基板表面のイオン照射を低減するために断続的にパルスさせてもよい。いくつかの実施形態では、プラズマ出力は、約50W~約6000Wの範囲とすることが可能である。様々な実施形態では、RF出力もしくはRF周波数、又はその両方は、堆積及び断続的プラズマ処理の両方に使用してもよい。ウェットエッチ速度、応力、フッ素濃度、比誘電率k値、及び破壊電圧耐性などの、堆積膜の特性を変えるためにRF出力及び/又は周波数を調節してもよい。
いくつかの実施形態では、プラズマは、1つ以上のプラズマモニタにより原位置で監視してもよい。ある計画では、プラズマ出力は、1つ以上の電圧/電流センサ(例えば、VIプローブ)で監視してもよい。別の計画では、プラズマ密度及び/又はプロセスガス濃度は、1つ以上の光学発光分光センサ(OES)により測定してもよい。いくつかの実施形態では、1つ以上のプラズマパラメータ値は、このような原位置プラズマモニタから得た測定値に基づいてプログラム中で調整してもよい。例えば、OESセンサは、プラズマ出力のプログラム制御を提供するためのフィードバックループにおいて使用してもよい。いくつかの実施形態では、プラズマ及び他のプロセスの特徴を監視するために、他のモニタを使用してもよいことは理解されているものとする。このようなモニタには、赤外線(IR)モニタ、音響モニタ、及び圧力変換器が挙げられるが、これらに限定されるものではない。
いくつかの実施形態では、コントローラ450に対する指示は、入力/出力制御(IOC)の順序付けた指示を通じて提供してもよい。1例では、プロセス段階の条件を設定するための指示は、プロセスレシピの対応するレシピ段階に含まれてもよい。いくつかの例では、複数のプロセスレシピ段階は、あるプロセス段階の全ての指示がそのプロセス段階と同時に実行されるように、順次付けしてもよい。いくつかの実施形態では、1つ以上の反応パラメータ値を設定するための指示がレシピ段階に含まれてもよい。例えば、第1レシピ段階には、不活性ガス及び/又は反応ガス(例えば、シランなどの第1前駆体)の流量を設定するための指示、並びにキャリアガス(窒素やアルゴンなど)の流量を設定するための指示、並びに第1レシピ段階のための時間遅延指示が含まれてもよい。第2の後続のレシピ段階には、不活性ガス及び/又は反応ガスの流量を調節又は停止するための指示、キャリアガス又はパージガスの流量を調節するための指示、並びに第2レシピ段階のための時間遅延指示が含まれてもよい。第3レシピ段階には、第1のレシピ段階で使用したガス(例えば、酸素などの第2前駆体)と同一であっても異なっていてもよい不活性ガス及び/又は反応ガスの流量を設定するための指示、プラズマRF出力を設定するための指示、第1レシピ段階における流量と同一であっても異なっていてもよいキャリアガスの流量及びプラズマ条件を調節するための指示、並びに第3のレシピ段階のための時間遅延指示が含まれてもよい。第4のレシピ段階には、不活性ガス及び/又は反応ガスの流量を調節又は停止するための指示、キャリアガス又はパージガスの流量を調節するための指示、並びに第4レシピ段階のための時間遅延の指示が含まれてもよい。第5レシピ段階には、不活性ガス及び/又は反応ガス(例えば、酸素及び/又はアルゴン)の流量を設定するための指示、異なる又は同一のプラズマRF出力を設定するための指示、キャリアガスの流量及びプラズマ条件を調節するための指示、並びに断続的プラズマ処理を行うための第5レシピ段階のための時間遅延指示が含まれる。いくつかの例では、レシピ段階には、ON状態とOFF状態との間でプラズマをパルスさせるための指示も含まれてよい。また、より多くのレシピ段階を用いてもよい。これらのレシピ段階は、本開示の範囲内で任意の好適な方法において更に細分化及び/又は反復してもよいことは理解されているものとする。
いくつかの実施形態では、台座408は、ヒータ410を介して温度制御してもよい。更に、いくつかの実施形態では、バタフライ弁418によりプロセスステーション400の圧力制御を行ってもよい。図4の実施形態に示すように、バタフライ弁418は、下流真空ポンプ(図示せず)に提供された真空をスロットル調整する。しかしながら、いくつかの実施形態では、プロセスステーション400の圧力制御は、プロセスステーション400に導入した1種以上のガスの流量を変化させることで調整してもよい。プロセスステーション400は、上述したように例示的なレシピを制御するためのコントロール450を備えてもよい。
いくつかの実施態様では、コントローラ450はシステムの一部であり、これは上述した例の一部であってもよい。このようなシステムは、加工ツール(単数又は複数)、チャンバ(単数又は複数)、加工のためのプラットフォーム(単数又は複数)、及び/又は特定の加工構成要素(ウェハ台座、ガスフローシステム等)を備える半導体加工装置を有してもよい。これらのシステムは、半導体ウェハ又は基板の加工前、加工中、及び加工後にそれらの操作を制御するための電子機器と一体化してもよい。電子機器は「コントローラ」と称する場合もあり、これはシステム(単数又は複数)の様々な構成要素又は下位部品を制御してもよい。コントローラ450は、加工要件及び/又はシステムの種類に応じて、本明細書に開示したプロセスのいずれかを制御するようにプログラムしてもよい。このプロセスには、プロセスガスの送達及び/もしくは1種以上のガスの分流を含むプロセスガスを送達するための異なるドーズ時間の変更、温度設定(例えば、加熱及び/もしくは冷却)、圧力設定、真空設定、出力設定、無線周波数(RF)発生器設定及び/もしくはRF出力設定の変更、RF整合回路設定、周波数設定、流量設定、流体送達設定、位置及び操作設定、ツール及び他の転写ツールへの/からのウェハ転写、並びに/又は特定のシステムに接続又はインターフェース連結するロードロックが含まれる。
広義的には、コントローラ450は、指示を受け取り、指示を発行し、操作を制御し、クリーニング操作を可能にし、終点測定を可能にする様々な集積回路、論理回路、メモリ、及び/又はソフトウェアを有する電子機器として定義してもよい。集積回路は、プログラム指示を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、及び/又はプログラム指示を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラを備えてもよい。プログラム指示は、半導体ウェハ上で、もしくは半導体ウェハのために、又はシステムに対して特定のプロセスを実行するための操作パラメータを定義する様々な個々の設定(又はプログラムファイル)の形態でコントローラ450に伝えられた指示であってよい。操作パラメータは、いくつかの実施形態では、ウェハの層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、及び/又はダイのうちの1つ以上製造中に1工程以上の加工工程を達成するために工程技師が定義するレシピの一部であってもよい。
コントローラ450は、いくつかの実装では、システムと統合されたか、又はシステムと連結したか、又はそうでなければシステムとネットワーク接続したか、又はこれらを組み合わせたコンピュータの一部であっても、このコンピュータに連結してもよい。例えば、コントローラ450は「クラウド」上でも、又はファブホストコンピュータシステムの全体又は一部であってもよく、これにより、ウェハ加工の遠隔アクセスを可能にする。コンピュータはシステムへの遠隔アクセスを可能にし、作製操作の現時点の進捗を監視し、過去の作製操作の履歴を調査し、複数の作製操作から傾向を調査するか又は計量を行い、現時点の加工のパラメータを変更し、現時点の加工に続く加工工程を設定し、又は新規のプロセスを開始してもよい。いくつかの例では、遠隔コンピュータ(例えば、サーバ)は、ローカルネットワーク又はインターネットを含んでもよいネットワーク上でシステムにプロセスレシピを提供できる。遠隔コンピュータは、パラメータ及び/又は設定の入力又はプログラミングを可能にするユーザインターフェースを備えてもよく、このパラメータ及び/又は設定はその後、遠隔コンピュータからシステムに伝達される。いくつかの例では、コントローラ450は、1つ以上の操作中に実行する各加工工程のパラメータを特定するデータの形態の指示を受信する。パラメータは、実行するプロセスのタイプ、及びコントローラ450がインターフェース連結するか又は制御するように構成しているツールのタイプに特異的であってもよいことは理解すべきである。従って、上述したように、一緒にネットワーク接続され、本明細書に記載のプロセス及び制御などの共通の目的に向かって動作する1つ以上の個別のコントローラの搭載などにより、コントローラ450は分散してもよい。このような目的のための分散型コントローラの例は、チャンバ上でプロセスを制御するために接続する遠隔配置の(例えばプラットフォームレベル、又は遠隔コンピュータの一部として)1つ以上の集積回路と通信するチャンバ上の1つ以上の集積回路が挙げられる。
限定するものではないが、システムの例としては、プラズマエッチチャンバ又はモジュール、堆積チャンバ又はモジュール、スピンリンスチャンバ又はモジュール、金属めっきチャンバ又はモジュール、クリーンチャンバ又はモジュール、傾斜エッジチャンバ又はモジュール、物理蒸着(PVD)チャンバ又はモジュール、化学蒸着(CVD)チャンバ又はモジュール、原子層堆積(ALD)チャンバ又はモジュール、原子層エッチ(ALE)チャンバ又はモジュール、イオンドーズチャンバ又はモジュール、トラックチャンバ又はモジュール、及び半導体ウェハの作製及び/又は製造に関連又は使用してもよい任意の他の半導体加工システムが挙げられる。
上記のように、ツールが実行するプロセス工程(単数又は複数)に応じて、コントローラ450は、他のツール回路又はモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、隣接ツール、近隣ツール、工場全体に配置するツール、メインコンピュータ、別のコントローラ、又は、半導体製造工場内のツール位置及び/もしくは負荷ポートにウェハの容器を出し入れする材料搬送に用いるツールのうちの1つ以上と通信する。
上述したように、1つ以上のプロセスステーションはマルチステーション加工ツールに含まれてもよい。図5は、復路ロードロック502及び往路ロードロック504を有するマルチステーション加工ツール500の1実施形態の模式図であり、これらのいずれか又は両方は、遠隔プラズマ源を備えてもよい。ロボット506は、大気圧で、ポッド508を介して搭載したカセットから、大気圧ポート510を介して、復路ロードロック502にウェハを移動させるように構成している。ウェハはロボット506により復路ロードロック502内の台座512上に配置し、大気圧ポート510は閉鎖し、ロードロックはポンプダウンする。復路ロードロック502が遠隔プラズマ源を備える場合、ウェハは、加工チャンバ514に導入される前に、ロードロック内で遠隔プラズマ処理に曝露してもよい。更に、ウェハはまた、例えば、水分及び吸着ガスを除去するために、同様に復路ロードロック502内で加熱してもよい。次に、加工チャンバ514へのチャンバ搬送ポート516が開き、別のロボット(図示せず)によりウェハは反応器中、加工用反応器内に示す第1ステーションの台座に配置される。図5に図示した実施形態はロードロックを備えるが、いくつかの実施形態では、プロセスステーションへウェハが直接進入してもよいことは理解されているものとする。
図示した加工チャンバ514は、図5に示す実施形態において1から4の番号が付された4つのプロセスステーションを備える。各ステーションは、加熱した台座(ステーション1については518を参照)、及びガスライン流入口を有する。いくつかの実施形態では、各プロセスステーションが異なる目的、又は複数の目的を有してもよいことは理解されているものとする。例えば、いくつかの実施形態では、プロセスステーションは、ALDとプラズマ強化ALDプロセスモードとの間で切り替え可能であってよい。加えて、又は代替的に、いくつかの実施形態では、加工チャンバ514は、ALDとプラズマ強化ALDプロセスステーションとの1つ以上の対応対を備えてもよい。図示した加工チャンバ514は4つのステーションを備えるが、本開示の加工チャンバが任意の好適な数のステーションを有してもよいことは理解されているものとする。例えば、いくつかの実施形態では、加工チャンバは5つ以上のステーションを有してもよいが、他の実施形態では、加工チャンバは3つ以下のステーションを有してもよい。
図5は、加工チャンバ514内でウェハを転写するためのウェハ操作システム590の実施形態を図示している。いくつかの実施形態では、ウェハ操作システム590は、様々なプロセスステーション間で、及び/又はプロセスステーションとロードロックとの間で、ウェハを転写してよい。任意の好適なウェハ操作システムを採用してよいことは理解されているものとする。非限定的な例としては、ウェハ回転式コンベヤ及びウェハ操作ロボットが挙げられる。図5はまた、プロセスツール500のプロセス条件及びハードウェア状態を制御するために採用したシステムコントローラ550の実施形態を図示している。システムコントローラ550は、1つ以上のメモリデバイス556、1つ以上の大容量記憶デバイス554、及び1つ以上のプロセッサ552を備えてもよい。プロセッサ552は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続部、ステッパモータコントローラボード等を備えてもよい。
いくつかの実施形態では、システムコントローラ550は、プロセスツール500の全活動を制御する。システムコントローラ550により、大容量記憶デバイス554に格納され、メモリデバイス556に搭載され、プロセッサ552上で実行されるシステム制御ソフトウェア558が実行される。あるいは、制御論理回路はコントローラ550にハードコード化してもよい。これらの目的のためにApplications Specific Integrated Circuits、Programmable Logic Devices(例えば、現場プログラミング可能ゲートアレイ、又はFPGA)等を使用してもよい。以下の論述では、「ソフトウェア」又は「コード」を使用する場所はどこでも、機能的に比較可能なハードコード化論理回路を代わりに使用してもよい。システム制御ソフトウェア558は、タイミング、ガスの混合物、半飽和ガス流の量、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウェハの温度、目的出力レベル、RF出力レベル、基板台座、チャック及び/又はサセプタの位置、並びにプロセスツール500が実行する特定のプロセスの他のパラメータを制御するための指示を出してもよい。システム制御ソフトウェア558は任意の好適な方法で構成してもよい。例えば、様々なプロセスツールのプロセスを実行するために使用するプロセスツール構成要素の動作を制御するために、様々なプロセスツール構成要素サブルーチン又は制御対象を書き込んでもよい。システム制御ソフトウェア558は任意の好適なコンピュータ可読プログラミング言語でコード化してもよい。
いくつかの実施形態では、システム制御ソフトウェア558は、上述の様々なパラメータを制御するための入力/出力制御(IOC)の順序付けた指示を出してもよい。システムコントローラ550に接続した大容量記憶デバイス554及び/又はメモリデバイス556に記憶させた他のコンピュータソフトウェア及び/又はプログラムは、いくつかの実施形態で採用してもよい。この目的のためのプログラム又はプログラム区分の例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、台座518に基板を搭載するために、また基板とプロセスツール500の他の部品との間の間隔を制御するために使用するプロセスツール構成要素のプログラムコードを含んでもよい。
プロセスガス制御プログラムは、ガス組成(例えば、本明細書に記載のシラン、窒素、及びパージガス)及び流量を制御するためのコード、並びに任意にプロセスステーション内の圧力を安定させるために堆積前に1つ以上のプロセスステーションにガスを流すためのコードを含んでもよい。例えば、プロセスガス制御プログラムは、マルチサイクルALDプロセスにおけるサイクル間でプロセスガスドーズの継続時間を変更するためのコードを含んでもよい。圧力制御プログラムは、例えば、プロセスステーションの排気システム中のスロットル弁、プロセスステーションへのガス流量等を調節することによりプロセスステーション内の圧力を制御するためのコードを含んでもよい。
ヒータ制御プログラムは、基板を加熱するために使用する加熱ユニットへの電流を制御するためのコードを含んでもよい。代わりに、ヒータ制御プログラムは基板への熱移送ガス(ヘリウムなど)の送達を制御してもよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1つ以上のプロセスステーションのプロセス電極に印加するRF出力レベルを設定するためのコードを含んでもよい。例えば、プラズマ制御プログラムは、サイクル間でRF出力レベルを変更するためのコードを含んでもよい。
圧力制御プログラムは、本明細書の実施形態に従って反応チャンバ内の圧力を維持するためのコードを含んでもよい。
いくつかの実施形態では、システムコントローラ550に接続したユーザインターフェースがあってもよい。ユーザインターフェースは、ディスプレイ画面、装置及び/又はプロセス条件の画像ソフトウェアディスプレイ、並びにポインタデバイス、キーボード、タッチスクリーン、マイク等のユーザ入力デバイスを備えてもよい。
いくつかの実施形態では、システムコントローラ550により調整するパラメータ値はプロセス条件に関連してもよい。非限定的な例としては、プロセスガス組成及び流量、ドーズ時間、温度、圧力、プラズマ条件(RF出力レベルなど)、ALDサイクル間での1つ以上のパラメータ値の変動等が挙げられる。これらのパラメータ値は、レシピの形でユーザに提供してもよく、ユーザインターフェースを利用して入力してもよい。
プロセスを監視するための信号は、様々なプロセスツールセンサから、システムコントローラ550のアナログ及び/又はデジタル入力接続部により提供してもよい。プロセスを制御するための信号は、プロセスツール500のアナログ及びデジタル出力接続部で出力してもよい。監視し得るプロセスツールセンサの非限定的な例はとしては、質量流量コントローラ、圧力センサ(マノメータなど)、熱電対等が挙げられる。適切にプログラムしたフィードバック及び制御アルゴリズムはこれらのセンサから得たデータと共に使用し、プロセス条件を維持してもよい。
システムコントローラ550は、上述した堆積プロセスを実施するためのプログラム指示を提供してもよい。プログラム指示は、DC出力レベル、RFバイアス出力レベル、圧力、温度等の多様なプロセスパラメータを制御してもよい。指示は、本明細書に記載の様々な実施形態に従った膜積層体の原位置堆積を操作するためのパラメータ値を制御してもよい。
システムコントローラ550は典型的には、指示を実行するように構成した1つ以上のメモリデバイス及び1つ以上のプロセッサを備え、それにより装置は開示の実施形態に従った方法を実行するようになる。開示した実施形態に従ってプロセス操作を制御するための指示を含むコンピュータ可読媒体は、システムコントローラに連結してもよい。コントローラ550は、図4を参照して上述した特徴のいずれかを有してもよい。
本明細書に開示した方法を実行するための適切な装置は、2011年4月11日出願の米国特許出願第13/084,399号、名称「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;及び2011年4月11日出願の米国特許出願第13/084,305号、名称「SILICON NITRIDE FILMS AND METHODS」において更に記載及び報告されており、各々はその全体が本明細書に援用される。
本明細書に記載の装置/プロセスは、例えば、半導体素子、ディスプレイ、LED、光電池パネル等の作製又は製造のために、リソグラフィパターニングツール又はプロセスと組み合わせて使用してもよい。典型的に、必須ではないが、このようなツール/プロセスは、共通の製造施設で一緒に使用又は実施する。リソグラフィによる膜のパターニングには典型的に、以下の操作の一部又は全てが含まれ、各操作は多数の可能なツールを用いて可能になる:(1)スピンオンツール又はスプレーオンツールを用いて加工物、即ち基板へフォトレジストを塗布する操作;(2)ホットプレート又は溶鋼炉又はUV硬化ツールを用いてフォトレジストを硬化する操作;(3)ウェハステッパなどのツールを用いてフォトレジストを可視光、UV光、又はX線光に曝露する操作;(4)レジストを現像し、ウェットベンチなどのツールを用いてレジストを選択的に除去することにより加工物をパターニングする操作;(5)ドライエッチツール又はプラズマ支援エッチツールを用いて、レジストパターンを下地膜又は加工物に転写する操作;及び(6)RF又はマイクロ波プラズマレジスト剥離剤などのツールを用いてレジストを除去する操作。
実施例1
2つの酸化ケイ素膜で実験を行い、各膜のウェットエッチ速度を測定した。どちらの酸化ケイ素膜も、アスペクト比が7:1のフィーチャに堆積した。どちらの酸化ケイ素膜も、5000Wの出力でプラズマを発生させるために使用するケイ素前駆体と酸素及び亜酸化窒素の混合物との交互パルスを利用し、原子層堆積により堆積させた。堆積時には、アルゴン及び窒素ガスも流した。酸素の流量は5000sccm、亜酸化窒素の流量は5000sccm、アルゴンの流量は5000sccm、窒素の流量は25000sccmであった。キャリアガスは1500sccmの流量で使用した。ALD中に使用したプラズマのパルス継続時間は0.3秒間であり、膜は圧力6Torrのチャンバに堆積させた。
第1酸化ケイ素膜を、断続的プラズマ処理を行わずに堆積させ、フィーチャの上部、中間部、及び下部についてウェットエッチ速度を測定した。100:1のフッ化水素酸中で30秒間、測定したウェットエッチ速度は、図6に塗りつぶしたバーとしてグラフにしている。
酸素ガス、アルゴンガス、ヘリウム、及び水素ガスを流し、2Torrのチャンバ内で1500Wの出力で10秒間プラズマを発生させることにより、断続的プラズマ処理で第2酸化ケイ素膜を堆積させた。酸素ガスの流量は2000sccm、アルゴンの流量は3200sccm、ヘリウムの流量は2000sccm、水素の流量は2000sccmであった。断続的プラズマ処理は、ALDの5サイクルごとに行った。フィーチャの上部、中間部、及び下部について、100:1のフッ化水素酸中で30秒間測定したウェットエッチ速度を、図6に斜線を引いたバーとしてグラフにしている。
図6に示すように、断続的プラズマ処理に供した膜のウェットエッチ速度は、断続的プラズマ処理を行わなかった膜のウェットエッチ速度より大幅に低かった。
実施例2
7つの異なる膜で実験を行った。ケイ素前駆体の曝露、パージ、1250Wのプラズマによる酸素と亜酸化窒素ガスの混合、及びパージというサイクルを用いて原子層堆積により各膜を堆積した。アルゴン及び窒素ガスを不活性キャリアガスとして、パージ時に使用した。酸素ガス流量は5000sccm、亜酸化窒素ガス流量は5000sccm、アルゴンガス流量は5000sccm、窒素ガス流量は25000sccmであった。キャリアの流量は1500sccmであった。酸素及び窒素ガス曝露時に使用するプラズマのON時間は0.3秒間であった。ALDは、6Torrのチャンバ圧力で行った。
このALDプロセスにより、断続的プラズマ処理を行わずに第1酸化ケイ素膜を堆積し、その膜について応力を測定し、プロセス1として図7に示した。
第2~第7の酸化ケイ素膜は、10サイクルごとに断続的プラズマ処理を行うこのALDプロセスにより堆積し、プロセス2~7として図7に示している。これらの各プロセスのプロセス条件を下記表1に示す。全プロセスの断続的プラズマ処理時の圧力は10Torrであり、RFのON時間は10秒であった。サイクルプロセス4及び7では、RFを10秒間ONにした後にOFFにし、これをパルスプラズマ処理の100サイクルで行った。
Figure 2022534793000004
この結果から、RF周波数、RF出力、ヘリウム流量、アルゴン流量、及びパルススキームの変更など、断続的プラズマ処理の様々なプロセス条件を単独でトグル切り替えすることで、膜の応力を調節できることが分かる。
実施例3
ケイ素前駆体のドーズ、パージ、酸素及び亜硝酸ガスプラズマ(アルゴン及び窒素ガスを含む)、及びパージというサイクルを含む原子層堆積により堆積した酸化ケイ素膜で実験を行った。プラズマは1250Wのプラズマ出力で0.3秒間発生させ、1500sccmの流量でキャリアガス流を使用した。酸素ガス流量は5000sccm、亜酸化窒素ガス流量は5000sccm、アルゴン流量は5000sccm、窒素ガス流量は25000sccmであった。チャンバ圧力は6Torrであった。
高周波プラズマについては750Wで、低周波プラズマについては1000Wで発生させ、酸素ガス500sccm、アルゴンガス3200sccm、ヘリウムガス6000sccm、三フッ化窒素ガス35sccmを1秒間流した環境で燃焼させた2周波数プラズマを用いて、膜をフッ素系阻害に供した。
次に、この膜を、異なる継続時間の複数の断続的プラズマ処理に供した。断続的プラズマ処理には、2Torrの圧力のチャンバ内で1500Wのプラズマを用いて燃焼させた酸素2000sccm、アルゴン3200sccm、ヘリウム2000sccm、及び水素2000に基板を曝露する工程が含まれた。3つの異なる断続的プラズマ処理の継続時間は、5秒、10秒、20秒であった。断続的プラズマ処理は、ALDの10サイクルごとに行った。フッ素濃度は、膜の正規化した深さの関数としてこれらの膜それぞれについて測定した。結果を図8のグラフに示す。折れ線801は5秒の断続的プラズマ処理の結果を、折れ線802は10秒の断続的プラズマ処理の結果を、折れ線803は15秒の断続的プラズマ処理の結果を表す。この結果から、プラズマ後処理をより長くすることにより、ALDを用いて堆積した酸化ケイ素膜中のフッ素濃度を阻害により低減できることが示唆されている。
実施例4
ALDで堆積した酸化ケイ素膜のk値を、断続的プラズマ処理を行わない膜と行った膜について測定する実験を行った。この実験では両膜の破壊電界の測定も行った。
これらの膜は、ケイ素前駆体曝露、パージ、酸素及び亜酸化窒素プラズマ曝露(アルゴン及び窒素を含む)、及びパージというマルチサイクルを用いて堆積させた。プラズマは、1250Wのプラズマ出力で0.3秒間発生させた。酸素ガスの流量は5000sccm、亜酸化窒素ガスの流量は5000sccm、アルゴンガスの流量は5000sccm、窒素ガスの流量は25000sccm、キャリアの流量は1500sccmであった。チャンバ圧力は6Torrであった。
断続的プラズマ処理を行わずに本プロセスを用いて堆積した膜を、比誘電率k値(図9)及び破壊電界(図10)について評価した。
他方の膜は、膜堆積後に断続的プラズマ処理に曝露し、これにより、断続的プラズマ処理には、ALDの10サイクルごとに、酸素2000sccm、アルゴン3200sccm、ヘリウム2000sccm、水素2000sccmを燃焼させて発生させた1250Wのプラズマに10秒間曝露する工程が含まれた。断続的プラズマ処理を行う上記プロセスを用いて堆積した膜を、比誘電率k値(図9)及び破壊電界(図10)について評価した。
図9に示すように、断続的プラズマ処理に曝露した膜の比誘電率k値は、断続的プラズマ処理に曝露していない膜のものより大幅に低かった。断続的プラズマ処理に曝露した膜の破壊電圧は、断続的プラズマ処理に曝露していない膜のものより大幅に高かった。これらの結果から、断続的プラズマ処理を使用して堆積した膜は使用しない膜に比べて優れた結果を示すことが示唆されている。
実施例5
連続プラズマで堆積及び処理した膜とパルスプラズマで堆積及び処理した膜間で、応力及びウェットエッチ速度を比較する実験を行った。図11は、アルゴン流量の百分率及び処理中に印加するプラズマのタイプを変更することによる、ウェットエッチ速度に対する膜応力の変化を示すグラフである。パルスプラズマは10Hzの周波数で行った。アルゴン流量の百分率はチャンバ内への利用可能な全アルゴン流量の百分率である。
点1102はパルスプラズマでの80%アルゴン流量を示し;点1104はパルスプラズマでの100%アルゴン流量を示し;点1106はパルスプラズマでの60%アルゴン流量を示し;点1108はパルスプラズマでの40%アルゴン流量を示し;点1110はパルスプラズマでの20%アルゴン流量を示し;線1101は、パルスプラズマで処理した場合の応力とウェットエッチ速度との全体的な曲線及び傾向を示す。
比較として、点1112は連続プラズマでの80%アルゴン流量を示し;点1114は連続プラズマでの100%アルゴン流量を示し;点1116は連続プラズマでの60%アルゴン流量を示し;点1118は連続プラズマでの40%アルゴン流量を示し;点1120は連続プラズマでの20%アルゴン流量を示し;線1111は、連続プラズマで処理した膜の応力とウェットエッチ速度との全体的な曲線及び傾向を示す。
上記のように、連続プラズマの傾向はパルスプラズマとは異なり、40%アルゴン流量及びパルスプラズマでは応力及びウェットエッチ速度の両方が大幅に減少するという望ましい結果となり、一方、40%アルゴン流量及び連続プラズマでは、他の全ての点と比較して、ウェットエッチ速度が最も高いことから、結果は驚くべきものである。様々な膜の応力及びウェットエッチ速度の既知の傾向を考えると、この示された差異は明確であり、予想外である。
(結論)
理解を明確にする目的で、前述の実施形態をある程度詳細に説明してきたが、添付の特許請求の範囲内で特定の変更や修正を実施してもよいことは明らかである。本実施形態のプロセス、システム、及び装置を実装する多くの代替方法があることに留意すべきである。従って、本実施形態は例示的なものであり、制限的なものではないと考えるべきであり、本実施形態は、本明細書に記載の詳細な説明に限定されるものではない。

Claims (10)

  1. 基板加工方法であって、前記方法は:
    半導体基板を反応チャンバに供給する工程;
    膜を堆積するための原子層堆積のサイクルを実行する工程であって、各サイクルは:
    蒸気相の第1反応物を前記反応チャンバに導入して、前記第1反応物を前記半導体基板の表面に吸着させる操作;
    1回分の蒸気相の第2反応物を前記反応チャンバに1回分の時間、導入する操作;及び
    蒸気相の前記第2反応物が前記反応チャンバ内にある間に、前記反応チャンバ内に第1プラズマを発生させる操作を含む、工程;並びに
    前記原子層堆積の各nサイクル後に、流動アルゴン及び第2ガスから発生させた第2プラズマに前記膜を曝露する工程であって、
    (1)前記第2ガスは、水素、酸素、及びこれらの組み合わせから成る群より選択され、アルゴンと前記第2ガスとの流量の比は約50:1~約1:1の範囲にあるか、又は
    (2)前記第2ガスは亜酸化窒素であり、アルゴンと前記第2ガスとの流量の比は約10:1~約20:1の範囲にある、工程
    を含むことを特徴とする方法。
  2. 請求項1に記載の方法であって、前記第2プラズマに前記膜を曝露する間に1種以上の追加ガスを流す工程を更に含み、前記1種以上の追加ガスは、酸素、亜酸化窒素、及びヘリウムから成る群より選択される、方法。
  3. 基板加工方法であって、前記方法は:
    半導体基板を反応チャンバに供給する工程;
    膜を堆積するための原子層堆積のサイクルを実行する工程であって、各サイクルは:
    蒸気相の第1反応物を前記反応チャンバに導入して、前記第1反応物を前記半導体基板の表面に吸着させる操作;
    1回分の蒸気相の第2反応物を前記反応チャンバに1回分の時間、導入する操作;及び
    蒸気相の前記第2反応物が前記反応チャンバ内にある間に、前記反応チャンバ内に第1プラズマを発生させる操作を含む、工程;並びに
    前記原子層堆積の各nサイクル後に、流動アルゴン及び第2ガスから発生させた第2プラズマに前記膜を曝露する工程であって、100:1のHFで約40A/分未満のウェットエッチ速度を達成するためにアルゴンと前記第2ガスとの流量の比は約50:1~約1:1の範囲にある工程
    を含むことを特徴とする方法。
  4. 請求項3に記載の方法であって、前記第2ガスは水素、酸素、及びこれらの組み合わせから成る群より選択される、方法。
  5. 請求項3に記載の方法であって、前記第2プラズマへの前記膜の曝露中に第3ガスを流す工程を更に含み、前記第3ガスは亜酸化窒素、ヘリウム、及びこれらの組み合わせから成る群より選択される、方法。
  6. 請求項5に記載の方法であって、アルゴンの流量と前記第3ガスの流量との比は約10:1~約20:1の範囲にある、方法。
  7. 請求項1に記載の方法であって、前記第2プラズマは、1基板当たり約750W~約1625Wの範囲の出力で発生させる、方法。
  8. 請求項1~7のいずれか1項に記載の方法であって、前記膜は酸化ケイ素を含む、方法。
  9. 請求項1~7のいずれか1項に記載の方法であって、前記第2プラズマへの前記膜の曝露、及び前記原子層堆積の前記サイクルの実行は真空破壊せずに行う、方法。
  10. 請求項1~7のいずれか1項に記載の方法であって、前記第2プラズマへの前記膜の曝露は前記第2プラズマをパルスさせる工程を含む、方法。
JP2021572277A 2019-06-07 2020-06-03 原子層堆積時における膜特性の原位置制御 Pending JP2022534793A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962858812P 2019-06-07 2019-06-07
US62/858,812 2019-06-07
PCT/US2020/035996 WO2020247548A1 (en) 2019-06-07 2020-06-03 In-situ control of film properties during atomic layer deposition

Publications (1)

Publication Number Publication Date
JP2022534793A true JP2022534793A (ja) 2022-08-03

Family

ID=73652902

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021572277A Pending JP2022534793A (ja) 2019-06-07 2020-06-03 原子層堆積時における膜特性の原位置制御

Country Status (6)

Country Link
US (1) US20220238325A1 (ja)
JP (1) JP2022534793A (ja)
KR (1) KR20220006663A (ja)
CN (1) CN114245832A (ja)
TW (1) TW202113142A (ja)
WO (1) WO2020247548A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202143328A (zh) * 2020-04-21 2021-11-16 荷蘭商Asm Ip私人控股有限公司 用於調整膜應力之方法
US11658026B2 (en) * 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
US11955333B2 (en) 2021-03-22 2024-04-09 Applied Materials, Inc. Methods and apparatus for processing a substrate
WO2023112387A1 (ja) * 2021-12-15 2023-06-22 株式会社Kokusai Electric 成膜方法、半導体装置の製造方法、成膜装置、およびプログラム
WO2024076576A1 (en) * 2022-10-06 2024-04-11 Lam Research Corporation Improving chemistry utilization by increasing pressure during substrate processing

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9287113B2 (en) * 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8956704B2 (en) * 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
KR102080114B1 (ko) * 2015-09-21 2020-02-24 주식회사 원익아이피에스 질화막의 제조방법
KR102014175B1 (ko) * 2016-07-22 2019-08-27 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
CN114875388A (zh) * 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法

Also Published As

Publication number Publication date
KR20220006663A (ko) 2022-01-17
TW202113142A (zh) 2021-04-01
CN114245832A (zh) 2022-03-25
WO2020247548A1 (en) 2020-12-10
US20220238325A1 (en) 2022-07-28

Similar Documents

Publication Publication Date Title
US10903071B2 (en) Selective deposition of silicon oxide
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US10804099B2 (en) Selective inhibition in atomic layer deposition of silicon-containing films
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
US10629435B2 (en) Doped ALD films for semiconductor patterning applications
JP2022534793A (ja) 原子層堆積時における膜特性の原位置制御
KR20160113014A (ko) 초박 원자층 증착 막 정확도 두께 제어
US20220208543A1 (en) Modulated atomic layer deposition
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理