KR20210105289A - 펄스형 플라즈마 전력을 사용하여 유전체 재료 층을 형성하기 위한 방법, 이 층을 포함한 구조물 및 소자, 그리고 이 층을 형성하기 위한 시스템 - Google Patents

펄스형 플라즈마 전력을 사용하여 유전체 재료 층을 형성하기 위한 방법, 이 층을 포함한 구조물 및 소자, 그리고 이 층을 형성하기 위한 시스템 Download PDF

Info

Publication number
KR20210105289A
KR20210105289A KR1020210014789A KR20210014789A KR20210105289A KR 20210105289 A KR20210105289 A KR 20210105289A KR 1020210014789 A KR1020210014789 A KR 1020210014789A KR 20210014789 A KR20210014789 A KR 20210014789A KR 20210105289 A KR20210105289 A KR 20210105289A
Authority
KR
South Korea
Prior art keywords
dielectric material
layer
precursors
reaction chamber
less
Prior art date
Application number
KR1020210014789A
Other languages
English (en)
Inventor
요시유키 기쿠치
노리히코 이시노하치
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210105289A publication Critical patent/KR20210105289A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Abstract

기판의 표면 상에 유전체 재료 층을 포함한 구조물을 형성하기 위한 방법과 시스템, 및 본 방법 또는 시스템을 사용하여 형성된 구조물과 소자가 개시된다. 예시적인 방법은, 반응기 시스템의 반응 챔버 내에 기판을 제공하는 단계, 하나 이상의 전구체를 반응 챔버에 제공하는 단계, 및 펄스형 플라즈마 전력을 제공하여 반응 챔버 내에서 하나 이상의 전구체를 중합시키는 단계를 포함한다.

Description

펄스형 플라즈마 전력을 사용하여 유전체 재료 층을 형성하기 위한 방법, 이 층을 포함한 구조물 및 소자, 그리고 이 층을 형성하기 위한 시스템{Method of forming dielectric material layers using pulsed plasma power, structures and devices including the layers, and systems for forming the layers}
본 개시는, 일반적으로 전자 소자의 제조에 사용하기에 적합한 층과 구조물을 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 개시의 예시는 유전체 층을 포함한 구조물을 형성하는 방법, 이러한 층을 포함한 구조물 및 소자, 그리고 상기 방법을 수행하고/수행하거나 상기 구조물 및/또는 소자를 형성하기 위한 시스템에 관한 것이다.
반도체 소자와 같은 소자 제조 중에 기판 표면 상의 특징부(예, 트렌치 또는 갭)를 유전체 재료로 충진하는 것이 자주 바람직하다. 일부 경우에, 탄소 재료(예, 실리콘 산소 카바이드 재료)와 같은 낮은 유전 상수(low-k) 재료 또는 다른 유전체 재료, 예컨대 실리콘 산화물(SiOx), 실리콘 질화물(SiNx) 등으로 특징부를 충진하는 것이 바람직할 수 있다. 예로서, 유전체 재료는 패터닝된 금속 특징부 상의 금속간 유전체 층, 백-엔드-오브-라인 공정에서의 완전히 정렬된 비아를 위한 갭 충진, 게이트-올-어라운드 소자를 위한 내부 절연 층, 저항성 랜덤 엑세스 메모리(ReRAM) 소자 내의 절연 층 등으로서 사용될 수 있다.
일부 유전체 재료 증착 공정은, 유기 실란 또는 옥시실란 및 산화제를 사용하여 초기에 유동성 재료를 형성할 수 있다. 상기 재료는, 산화제를 활성화하기 위해 열 에너지 또는 원격식 플라즈마를 사용하여 증착될 수 있다. 이러한 기술은, 증착된 재료의 밀도를 증가시키고 재료의 유전 상수를 감소시키기 위해 비교적 긴 경화 또는 어닐링 단계를 간혹 포함한다.
이들 기술이 일부 응용 분야에서 잘 작동할 수 있지만, 전통적인 증착 기술을 사용하여 특징부를 충진하는 경우에, 충진될 특징부의 크기가 감소함에 따라 몇몇 단점을 갖는다. 예를 들어, 경화되거나 어닐링된 재료의 유전 상수는 종래의 기술을 사용하여 상당히 변할 수 있으며, 이는 소자 성능의 원하지 않는 변화를 초래한다. 또한, 이들 기술을 사용하여 형성된 유전체 재료는 균열되기 쉽다. 또한, 증착 단계 및/또는 증착후 단계(예, 어닐링 또는 경화)는 비교적 길 수 있다.
따라서, 기판의 표면 상에 유전체 재료 층을 형성하는 방법, 특히 이러한 재료로 기판 표면 상의 갭을 충진하는 방법을 위한 개선된 방법이 요구되는데, 이는 재료의 유전 상수의 변화를 완화시키고/완화시키거나, (예를 들어, 균열이 적거나 및/또는 공극이나 이음매가 적거나 거의 없는) 원하는 재료 특성을 제공하고/제공하거나 비교적 신속하게 수행될 수 있는 것이 바람직하다.
이 부분에서 진술된 문제점 및 해결책에 대한 임의의 논의를 포함하여 모든 논의는 단지 본 개시에 대한 맥락을 제공하는 목적으로 본 개시에 포함되었고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려졌거나 달리 종래 기술을 구성하고 있음을 인정하는 것으로 받아들여져서는 안 된다.
본 개시의 다양한 구현예는, 전자 소자의 형성에 사용하기 적합한 구조물을 형성하는 방법에 관한 것이다. 본 개시의 다양한 구현예가 이전의 방법과 구조물의 결점을 해결하는 방식을 아래에서 보다 상세히 논의하는 동안, 일반적으로 본 개시의 예시적인 구현예는 유전체 재료를 포함한 구조물을 형성하는 개선된 방법, 유전체 재료를 포함한 구조물, 및 상기 방법을 수행하고/수행하거나 구조물을 형성하기 위한 시스템을 제공한다. 본원에서 설명하는 방법은 기판 표면 상의 특징부를 충진하기 위해 사용될 수 있다.
본 개시의 다양한 구현예에 따라, 기판의 표면 상에 유전체 층을 형성하기 위한 방법이 제공된다. 예시적인 방법은, 반응기 시스템의 반응 챔버 내에 기판을 제공하는 단계, 하나 이상의 전구체를 반응 챔버에 제공하는 단계, 및 펄스형 플라즈마 전력을 제공하여 반응 챔버 내에서 전구체를 중합시키는 단계를 포함한다. 유전체 재료 층은, 하나 이상의 전구체가 중합함에 따라 형성된다. 하나 이상의 전구체가 중합함에 따라, 형성되는 재료는 초기에 유동성일 수 있고 특징부 또는 특징부 사이의 갭을 충진하기 위해 기판의 표면 상의 특징부 내로 흐를 수 있다. 이들 구현예의 예시적인 양태에 따라, 방법은 반응 챔버에 반응물을 제공하는 단계를 추가로 포함할 수 있다. 반응물은, 예를 들어 질소 및/또는 수소를 포함할 수 있다. 본 개시의 추가 예시에 따라, 반응물은 산화제를 포함할 수 있다. 본 개시의 추가 예시에 따라, 상기 방법은 플라즈마 강화 화학 기상 증착(PECVD) 공정을 사용하여 수행된다. 상기 방법은 직접식 및/또는 원격식 플라즈마의 사용을 포함할 수 있다. 본 개시의 추가 예시에 따라, 하나 이상의 전구체는 실리콘 및/또는 탄소를 포함한 화합물을 포함한다. 상기 방법은 열 경화 단계를 포함할 수 있다. 열 경화는, 예를 들어 산화제의 존재 하에 수행될 수 있다. 열 경화 단계 동안에 기판의 온도는 500°C 미만일 수 있다. 예시적인 방법은, 불활성 가스의/불활성 가스를 사용하는 용량성 결합 플라즈마(CCP), 마이크로파 여기, 초고주파수(VHF) 여기, 및 자외선(UV) 여기 중 하나 이상을 사용하여 증착후 처리를 수행하는 단계를 추가로 포함할 수 있다.
본 개시의 추가 예시적인 구현예에 따라, 구조물은 본원에 설명된 방법에 따라 적어도 부분적으로 형성된다. 구조물은 유전체 재료 층을 포함할 수 있다. 유전체 재료 층은 종횡비가 1:1 이상인 특징부 위에 증착될 수 있다.
본 개시의 추가 예시에 따라, 소자는 본원에 설명된 방법 및/또는 구조물을 사용하여 형성될 수 있다. 소자는, 예를 들어 FinFET, 게이트-올-어라운드 나노와이어 FET, 교차점 셀, 메모리 소자, 또는 논리 소자일 수 있거나 이를 포함할 수 있다.
본 개시의 추가 예시적인 구현예에 따라, 시스템은, 본원에 설명된 바와 같이 구조물을 형성하기 위해 또는 방법을 수행하기 위해 제공된다.
본 발명은 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 예시적인 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은 본 개시의 예시적 구현예에 따른 방법을 나타낸다.
도 2는 본 개시의 예시에 따른 방법의 일부분을 나타낸다.
도 3은 본 개시의 예시적 구현예에 따른 구조물을 나타낸다.
도 4는 본 개시의 예시적 구현예에 따라 증착된 재료의 탄소 결합 상태를 나타낸다.
도 5는 본 개시의 예시적 구현예에 따른 시스템을 나타낸다.
도 6은 본 개시의 예시적인 구현예에 따라 유전체 재료 층을 포함한 FinFET 구조물을 나타낸다.
도 7은 본 개시의 예시적인 추가 구현예에 따라 유전체 재료 층을 포함한 게이트-올-어라운드 소자 구조물을 나타낸다.
도 8은 본 개시의 예시적인 구현예에 따라 유전체 재료 층을 포함한 교차점 소자 구조물을 나타낸다.
도 9는 본 개시의 예시적인 구현예에 따라 백-엔드-오브-라인 금속간 유전체 갭 충진 층을 포함한 소자 구조물을 나타낸다.
도 10은 본 개시의 예시적인 구현예에 따라 백-엔드-오브-라인 완전 정렬된 비아 구조물과 갭 충진 층을 포함한 소자 구조물을 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되고 구체적으로 개시된 구현예에 의해 제한되지 않도록 의도된다.
본 개시는 일반적으로, 유전체 재료 층을 증착하는 방법, 구조물과 소자를 형성하는 방법, 및 상기 방법을 사용하여 형성된 구조물과 소자, 및 상기 방법을 수행하고/수행하거나 상기 구조물 및 소자를 형성하기 위한 시스템에 관한 것이다. 예로서, 본원에 설명된 방법은, 유전체 재료를 이용해 기판 표면 상의 갭(예, 트렌치 또는 비아)과 같은 특징부를 충진하기 위해 사용될 수 있다. 용어 갭과 오목부는 상호 교환적으로 사용될 수 있다.
갭 충진 공정 동안에 공극 및/또는 이음매 형성을 완화시키기 위해, 증착된 재료는 초기에 흐를 수 있고 갭을 충진하기 위해 갭 내에서 흐를 수 있다. 본원에 설명된 예시적인 구조는 다양한 응용 및 소자에 사용될 수 있고, 3D 교차점 메모리 소자에서의 셀 분리, 자체 정렬된 비아, 더미 게이트, 반전 톤 패턴, PC RAM 절연, 하드 마스크 컷, DRAM 스토리지 노드 접촉(SNC) 분리, 패턴화된 금속 특징부(예를 들어, Ru, Co, Cu, Ta, TaN, Ti, TiN, W 중 하나 이상을 포함할 수 있음) 상의 또는 그 사이의 금속간 갭-충진 층으로서, 백-엔드-오브-라인(BEOL) 공정에서 완전하게 정렬된 비아를 위한 갭 충진, BEOL 공정에서 유전체 상의 유전체-예를 들어, 메모리 또는 로직 소자, 게이트-올-어라운드 소자에 대한 내부 분리, 저항성 랜덤 엑세스 메모리(ReRAM) 소자 내의 절연 층, FinFET 소자의 얕은 트렌치 분리 층 등을 포함하나, 이에 제한되지 않는다.
본 개시에서, "가스"는 정상 온도 및 압력에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 지칭할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 샤워헤드, 다른 가스 분배 장치 등과 같은 가스 분배 어셈블리를 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 희귀 가스와 같은 밀폐 가스를 포함한다. 일부 경우에서, 예컨대 재료의 증착 맥락에서, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물을 지칭할 수 있고, 특히 막 매트릭스 또는 막의 주 골격을 구성하는 화합물을 지칭할 수 있는 반면, 용어 "반응물"은 일부 경우에서 전구체 이외의 화합물을 지칭할 수 있데, 이는 전구체를 활성화시키거나, 전구체를 개질하거나, 전구체의 반응을 촉진시키고, 반응물은 (O, H, N, C와 같은) 원소를 막 매트릭스에 제공할 수 있고, 예를 들어 전력(예, 무선 주파수(RF) 전력)이 인가되는 경우에 막 매트릭스의 일부가 될 수 있다. 일부 경우에서, 용어 전구체 및 반응물은 상호 교환적으로 사용될 수 있다. 일부 경우에, 반응물은 복수의 화합물을 포함할 수 있다. 용어 "불활성 가스"는 상당한 정도로 화학 반응에 참여하지 않고/않거나 예를 들어 전력(예, RF 전력)이 인가될 경우에 (예를 들어, 전구체의 중합화를 용이하게 하도록) 전구체를 여기시키는 가스를 지칭하나, 반응물과는 달리 상당한 정도로 막 매트릭스의 일부가 될 수 없다. 예시적인 불활성 가스는 아르곤, 헬륨, 질소, 및 이들의 임의의 혼합물을 포함한다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, III-V족 또는 II-VI족 반도체와 같은 화합물 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층 또는 벌크 재료의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 갭(예, 오목부 또는 비아), 라인 또는 돌출부, 예컨대 이들 사이에 형성된 갭을 갖는 라인 등을 포함할 수 있다. 예로서, 하나 이상의 피처는, 약 10 nm 내지 약 100 nm의 폭, 약 30 nm 내지 약 1,000 nm의 깊이 또는 높이, 및/또는 약 1:1, 1:3, 1:10. 1:100 이상의 종횡비를 가질 수 있다.
일부 구현예에서, "막"은 두께 방향에 수직인 방향으로 연장되는 층을 지칭한다. 일부 구현예에서, "층"은 표면에 형성된 특정 두께를 갖는 재료를 지칭하거나, 막 또는 막이 아닌 구조체의 동의어일 수 있다. 막 또는 층은 특정 특성을 갖는 별개의 단일막 또는 층, 또는 다수의 막 또는 층으로 구성될 수 있고, 인접하는 막 또는 층 사이의 경계는 명확하거나 그렇지 않을 수 있으며, 물리적, 화학적, 및/또는 임의의 특성, 형성 공정 및 시퀀스, 및/또는 인접하는 막 또는 층의 기능 또는 목적에 기반하여 구축되거나 되지 않을 수 있다. 층 또는 막은 연속적일 수 있거나 또는 그렇지 않을 수 있다. 또한, 단일 막 또는 층은 하나 이상의 증착 사이클 및/또는 하나 이상의 증착 및 처리 사이클을 사용하여 형성될 수 있다.
본원에서 사용되는 바와 같이, 용어 "저-유전 상수 재료 층" 또는 "저-유전 상수 재료"는 유전 상수가 이산화실리콘의 유전 상수보다 작거나 3.8 미만 또는 약 2.5 내지 약 3인 재료를 지칭할 수 있다. 유전체 재료는 저-유전 상수 재료 및 다른 재료, 예컨대 산화물(예, 실리콘 산화물) 및 질화물(예, 실리콘 질화물)을 포함한다. 본 개시의 예시에 따라, 유전체 재료의 유전 상수는 약 2.2 내지 약 4.2 또는 10 미만이다.
본원에서 사용되는 바와 같이, 용어 "구조물"은 부분적으로 또는 완전히 제조된 소자 구조물을 지칭할 수 있다. 예로서, 구조물은 그 위에 형성된 하나 이상의 층 및/또는 특징부를 갖는 기판일 수 있거나 이를 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "주기적 증착 공정"은 기상 증착 공정을 지칭하고, 여기서 증착 사이클은, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 주기적 증착 공정은 주기적 화학 기상 증착(CVD) 및 원자층 증착(ALD) 공정을 포함할 수 있다. 주기적 증착 공정은, 전구체, 반응물 및/또는 불활성 가스의 플라즈마 활성화를 포함하는 하나 이상의 사이클을 포함할 수 있다.
본 개시에서, "연속적으로"는, 진공 파괴가 없으며, 시간적으로 중단이 없고, 임의의 재료의 개입 단계가 없으며, 다음 단계로서 그 직후에 처리 조건의 변경이 없고, 또는 일부 구현예에서 그리고 문맥에 따라 두 개의 구조물 사이에 두 개의 구조물 이외의 분리된 물리적 또는 화학적 구조물이 개입하지 않음을 지칭할 수 있다.
유동성(예를 들어, 초기 유동성)은 다음과 같이 결정될 수 있다:
표 1
Figure pat00001
여기서 B/T는, 오목부를 충진하기 전에, 오목부가 형성되는 상부 표면에 증착된 막의 두께에 대한 오목부의 하부에 증착된 막의 두께의 비율을 지칭한다. 일반적으로, 유동성은 약 1:1 이하의 종횡비를 갖는 넓은 오목부를 사용하여 평가되는데, 일반적으로 오목부의 종횡비가 높아질수록 B/T 비율이 높아지기 때문이다. B/T 비는 일반적으로 오목부의 종횡비가 더 높을 경우에 더 높아진다. 본원에서 사용되는 바와 같이, "유동성" 막 또는 물질은 양호한 유동성을 나타낸다.
이하에서 더욱 상세히 설명되는 바와 같이, 재료의 유동성은, 예를 들어 플라즈마를 사용하여 형성된 여기된 종에 의해 하나 이상의 전구체가 중합될 때 일시적으로 수득될 수 있다. 최종 중합체 재료는 일시적으로 유동성 거동을 나타낼 수 있다. 증착 단계가 완료되고/완료되거나 짧은 시간(예, 약 3.0초) 후에, 막이 더 이상 흐르지 않을 수 있고, 오히려 고형화되고, 따라서 별도의 고형화 공정이 사용되지 않을 수 있다. 일부 경우에, 경화 단계가 사용될 수 있다.
본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 표시된 변수의 임의의 값은 ("약"의 표시 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서는 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭할 수 있다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 보통이고 관습적인 의미를 반드시 배제하는 것은 아니다.
도 1은 본 개시의 예시적인 구현예에 따라 기판의 표면 상에 유전체 재료 층을 형성하는 방법(100)을 나타낸다. 방법(100)은, 반응 챔버 내에 기판을 제공하는 단계(102), 하나 이상의 전구체를 반응 챔버에 제공하는 단계(104), 및 펄스형 플라즈마 전력을 제공하여 반응 챔버 내에서 하나 이상의 전구체를 중합시키는 단계(106)를 포함한다. 방법(100)은 또한 하나 이상의 반응물을 반응 챔버에 제공하는 단계(108) 및/또는 처리 단계(110) 및/또는 경화 단계(116)를 포함할 수 있다. 나타낸 바와 같이, 방법(100)은 단계(110) 이전에 단계(104~108 및 116)을 반복(루프 112)하는 것 및/또는 단계(104~110 및 116)을 반복하는 것을 포함할 수 있으며, 여기서 단계(108 및/또는 116)은 적어도 일부 경우에 선택적일 수 있다.
단계(102) 동안에, 기판은 기상 반응기의 반응 챔버 내에 제공된다. 본 개시의 예시에 따라, 반응 챔버는 플라즈마 강화 화학 기상 증착(PECVD) 반응기 또는 플라즈마 강화 원자층 증착(PEALD) 반응기와 같은 화학 기상 증착 반응기의 일부를 형성할 수 있다. 본원에 설명된 방법의 다양한 단계는 단일 반응 챔버 내에 수행될 수 있거나 클러스터 툴의 반응 챔버와 같은 다수의 반응 챔버 내에서 수행될 수 있다.
단계(102) 동안에, 기판은 원하는 온도로 될 수 있고/있거나 원하는 압력, 예컨대 후속 단계에 적절한 온도 및/또는 압력이 될 수 있다. 예로서, 반응 챔버 내의 (예를 들어, 기판 또는 기판 지지부의) 온도는 450°C 이하이거나 300°C 이하이거나 200°C 이하일 수 있다. 본 개시의 특정 예시에 따라, 기판은 오목부와 같은 하나 이상의 특징부를 포함한다.
하나 이상의 전구체를 반응 챔버에 제공하는 단계(104) 동안에, 유전체 재료 층을 형성하기 위한 하나 이상의 전구체가 반응 챔버 내로 도입된다. 예시적인 전구체는 탄소 및/또는 실리콘을 포함한 화합물을 포함할 수 있다. 본 개시의 추가 예시에 따라, 하나 이상의 전구체는 순환형 구조를 포함한 화합물을 포함한다. 순환형 구조는 실리콘-예를 들어, 실리콘 및 산소를 포함할 수 있다. 하나 이상의 전구체는, Si-O 결합을 포함한 화합물을 포함할 수 있다. 하나 이상의 전구체는, 순환형 유기 실리콘 화합물과 같은 유기 실리콘 화합물을 포함한 화합물을 포함할 수 있다. 하나 이상의 전구체는, 실록산을 포함한 화합물을 포함할 수 있다. 특정 예시적인 실록산은, 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸시클로테트라실록산(TMCTS), 옥타메톡시도데카실록산(OMODDS), 옥타메톡시시클리오릴옥산, 디메틸디메톡시실란(DM-DMOS), 디에톡시메틸실란(DEMS), 디메톡시메틸메틸실란(DMOMS), 페녹시디메틸디메틸실란(PODMS), 디메틸디옥소실릴시클로헥산(DMDOSH), 1,3-디메톡시테트라메틸디실록산(DMOTMDS), 디메톡시디페닐실란(DMDPS), 및 디시클로펜티디메톡시실란(DcPDMS)을 포함한다. 본 개시의 추가 예시에 따라, 하나 이상의 전구체는 1,3-비스(3아미노프로필)테트라메틸디실록산과 같은 아미노-알킬 실록산 전구체를 포함한다. 본 개시의 추가 예시에 따라, 하나 이상의 전구체 중 적어도 하나는 -(Si(R1,R2)-O)n-로 표시되는 화학식을 포함한 고리 구조를 포함하며, n은 약 30 내지 약 10 또는 약 3 내지 약 6의 범위이다. 특정 예로서, n은 4일 수 있고, R1 = R2 = CH3; 또는 n은 4일 수 있고, R1 = H, 및 R2 = CH3이다. 추가 예시에 따라, 하나 이상의 전구체 중 적어도 하나는 R3-(Si(R1,R2)m-O(m-1))-R4로 표시되는 화학식을 포함한 고리 구조를 포함하며, n은 약 1 내지 약 7 또는 약 1 내지 약 4의 범위이다. 특정 예시로서, m은 1, R1 = R2 = CH3, 및 R3 = R4 = OCH3일 수 있고; m은 2, R1 = R2 = CH3일 수 있고, R3 = R4 = OCH3일 수 있고; 또는 m은 2, R1 = C3H6-NH2, R2 = CH3, 및 R3 = R4 = CH3일 수 있다.
반응 챔버로의 하나 이상의 전구체의 유량은 다른 공정 조건에 따라 달라질 수 있다. 예로서, 유량은 약 100 sccm 내지 약 3,000 sccm일 수 있다. 유사하게, 탄소 전구체를 반응 챔버에 제공하는 각각의 단계의 지속 시간은 다양한 고려 사항에 따라 달라질 수 있다. 단계(108) 동안에, 하나 이상의 전구체는 반응 챔버에 제공될 수 있다. 하나 이상의 반응물은, 하나 이상의 전구체를 반응 챔버에 제공하는 단계와 동시에 또는 중첩해서 흐를 수 있다. 이 경우, CVD 반응이 발생할 수 있다. 일부 경우에, 반응물 및/또는 하나 이상의 전구체는 주기적 CVD 또는 ALD 공정과 같은 주기적 공정을 위해 반응 챔버로 펄스화될 수 있다.
단계(108) 중에 제공된 예시적인 반응물은 질소 및 수소 중 하나 이상을 포함한 화합물을 포함한다. 예를 들어, 질소 및 수소 중 하나 이상을 포함한 반응물은 NH3, 질소, 수소, 및 아미노 계열 반응물, 예컨대 히드라진, 모노메틸아민, 디메틸아민, 트리메틸아민, 모노에틸아민, 및 디에틸아민 중 하나 이상을 임의의 조합으로 포함할 수 있다.
질소 및 수소 중 하나 이상을 포함한 반응물은, 형성시 중합된 재료의 유동성을 제어하기 위해 사용될 수 있다. 도 4를 참조하면, 질소 및 수소 중 하나 이상을 포함한 반응물(예, NH3)을 전구체 플라즈마에 첨가하면, 좁은 특징부 사이의 공동 형성을 완화하고 유전체 재료 층의 증착 속도를 감소시킴으로써 유전체 재료 층의 증착 특성을 개선한다. 도 4(a)는 질소 및 수소 중 하나 이상을 포함한 반응물 없이 증착된 유전체 재료 층의 C1 데이터 및 주사 투과 전자 현미경(STEM) 이미지를 나타내고; 도 4(b)는 질소 및 수소 중 하나 이상을 포함한 반응물로 증착된 유전체 재료 층의 C1 데이터 및 STEM 이미지를 나타낸다. 나타낸 바와 같이, 질소 및 수소 중 하나 이상을 포함한 반응물의 사용은, 공동 형성의 제어를 용이하게 하고 C-C 결합을 증가시킨다. 이는 하나 이상의 전구체의 골격(예, 고리 또는 선형 골격) 구조를 유지하면서 하나 이상의 전구체의 반응물(예, 여기된 NH3)를 우선적으로 공격하는 기(예, 메틸 기)때문으로 여겨진다. 이러한 메커니즘은 하나 이상의 전구체의 중합을 더 잘 제어시킬 수 있는 것으로 여겨진다.
반응물은 추가적으로 또는 대안적으로 산화제를 포함할 수 있다. 산화제는 O2, O3, N2O, N2O4, NxOy, CO, CO2, H2O, 및 H2O2 중 하나 이상, 그리고 다음 화학식으로 표시되는 산소-함유(예, 액체) 화합물을 포함할 수 있다: CxHyOz, 여기서 x는 1 내지 5이고, y는 4 내지 16이고, Z는 1 내지 4, 예컨대 메탄올, 에탄올, 및 이소프로필 알코올이고, 이의 임의의 조합이다. 산화제는 막 내의 과량의 탄소를 감소시키고 막 구조의 연결성을 증가시키는 것으로 여겨지며, 이는 결국 (예를 들어, 400°C의 온도에서) 어닐링과 같은 후속 처리 동안에 층의 수축을 감소시키는 것으로 여겨진다.
본 개시의 추가 예시에 따라, 하나 이상의 전구체에 대한 질소 및 수소 중 하나 이상을 포함하는 반응물 화합물의 부피 비율(예를 들어, 반응 챔버 내 또는 반응 챔버로 흐르는 것)은 10 미만 또는 약 3 내지 약 5일 수 있다. 추가 예시에 따라, 하나 이상의 전구체에 대한 산화제의 부피 비율(예를 들어, 반응 챔버 내 또는 반응 챔버로 흐르는 것)은 10 미만 또는 약 7 내지 약 10일 수 있다.
반응 챔버 내의 하나 이상의 전구체를 중합시키기 위해 펄스형 플라즈마 전력을 제공하는 단계(106) 동안에, 반응 챔버에 제공된 하나 이상의 전구체는 여기 종을 사용하여 초기 점성 재료 내로 중합된다. 초기 점성 탄소 재료는, 예를 들어 여기된 종과의 추가 반응 및/또는 경화 단계(116)를 통해 고체 재료가 될 수 있다. 단계(106)는, 예를 들어 PECVD, PEALD, 또는 PE 주기적 CVD를 포함할 수 있다.
플라즈마는, 이하에서 더욱 상세히 설명되는 직접식 플라즈마 시스템을 사용하고/사용하거나 원격식 플라즈마 시스템을 사용하여 생성될 수 있다. 단계(106) 동안에 플라즈마를 생성하기 위해 사용되는 전력은 2000 W 미만이거나 약 300 W 내지 약 500 W일 수 있다. 전력의 주파수는 단일 또는 이중(예, RF) 전력 공급원을 이용해 1000 kHz 내지 200 MHz의 범위일 수 있다. 일부 경우에, 펄스형 플라즈마 전력을 제공하는 단계를 위한 전력 주파수는 높은 RF 주파수(예, 1 MHz 또는 약 13.56 MHz 초과) 및 낮은 RF 주파수(예, 500 kHz 또는 약 430 kHz 미만)를 포함한다. 저주파수 전력은, 플라즈마 생성 시스템의 애노드 또는 캐소드에 인가될 수 있다. 저 RF 주파수 전력의 펄스 온 시간은, 약 1 kHz 내지 약 100 kHz일 수 있고, 듀티 사이클은 약 10% 내지 약 100% 또는 50% 미만일 수 있다.
단계(106) 동안에, 플라즈마 전력은 펄스화된다. 플라즈마 전력을 펄스화하는 것은, 기판 표면 상에서 여기된 전구체의 고착 계수의 제어를 용이하게 하는 것으로 여겨진다. 일반적으로, 작은 고착 계수는, 중합체가 형성 시, 이의 표면 이동 및 확산을 돕는 것으로 여겨진다.
도 2는 본 개시의 예시에 따른 펄스 플라즈마 단계를 나타낸다. 도 1 및 도 2에 나타낸 바와 같이, 하나 이상의 전구체를 제공하는 단계(104)는 시간 t1에서 시작할 수 있다. 선택적으로, 하나 이상의 반응물이 t1에서 또는 t2 이전에 반응 챔버에 제공될 수 있다. 그 후, t2에서, 플라즈마 전력이 제공되어 하나 이상의 전구체를 중합시킨다. t3에서, 하나 이상의 전구체 및/또는 반응물(들)의 흐름이 중지되고, t4에서, 플라즈마를 형성하기 위한 전력이 감소되어 플라즈마를 끈다.
t2와 t4 사이의 기간 동안에, 플라즈마 전력은 도 2의 확대 부분에 나타낸 바와 같이 펄스화될 수 있다. 펄스는, t2~t4 동안 반복될 수 있는 펄스 온 시간(202) 및 펄스 오프 시간(204)을 포함할 수 있다. 펄스형 플라즈마 전력에 대한 펄스 온 시간(202)은 50 마이크로초 미만, 또는 약 10 마이크로초 내지 약 20 마이크로초일 수 있다. 펄스 오프 시간(204)은 펄스 온 시간(202)보다 더 길 수 있는데, 예를 들어 펄스 온 시간의 2배 또는 5배 초과, 또는 펄스 온 시간의 약 7배 내지 약 10배일 수 있다. 또는, RF 온 듀티 사이클은 50% 미만일 수 있다. 비교적 짧은 RF 온 시간과 비교적 긴 RF 오프 시간은, 중합된 전구체(들)의 고착 계수에 영향을 미침으로써, 유동성 증착 공정의 제어를 가능하게 하는 것으로 여겨진다. RF 온 타임이 길게 인가되는 경우, 전구체(들) 여기의 양은 너무 많은 가스 상태일 수 있으며, 이는 가스 상태에 형성되는 박편과 같은 큰 입자를 초래한다. 또한, RF 오프 시간이 짧을수록, 충분한 표면 이동이 결여되어 입자 및 공극 형성을 초래할 수 있다. 펄스형 플라즈마를 사용하여 기판 표면에서 전구체의 화학 반응 및 고착 효율을 제어함으로써, 증착된 유전체 재료 층의 양호한 갭 충진 능력 및 높은 막 품질 모두가 달성된다.
선택적인 경화 단계(116)는 열 경화를 포함할 수 있는데, 즉 기판 및 반응물은 열 경화 동안에 플라즈마에 노출되지 않을 수 있다. 단계(116) 동안에, 산화제 및 불활성 가스가 제공될 수 있다. 산화제는, 예를 들어 COx, O2, O3, 이소프로필 알코올, H2O, 또는 본원에서 언급된 다른 산화제 중 하나 이상으로부터 임의의 조합으로 선택될 수 있다. 열 경화의 단계 동안 기판의 온도는 500°C 미만일 수 있다. 처리 단계(110)는 기판의 표면 상에서 중합된 재료를 처리하는 단계를 포함할 수 있다. 단계(110) 동안에, 예를 들어 증착된 재료를 치밀화하고, 증착된 재료의 유전 상수를 낮추기 위해, 불활성 가스를 이용하거나 이의 용량성 결합 플라즈마(CCP), 마이크로파 여기, 초고주파수(VHF) 여기, 및 자외선(UV) 여기 중 하나 이상이 사용될 수 있다. 증착후 처리를 수행하는 단계 동안에, 기판의 온도는 500°C 미만이다.
도 3은 본 개시의 추가 예시에 따른 구조물(300)을 나타낸다. 구조물(300)은 기판(302), 하나 이상의 특징부(304, 306), 특징부(304, 306) 사이의 갭(308), 및 유전체 재료 층(310)을 포함한다. 구조물(300)은 다양한 소자를 제조하는 데 사용될 수 있고/있거나, FinFET 얕은 트렌치 분리 갭 충진 응용을 포함한 FET 소자용 얕은 트렌치 분리 층, 게이트-올-어라운드 나노와이어 소자 분리 갭 충진 응용, 교차점 소자, 메모리 또는 논리 소자 등을 포함한다.
기판(302)은 본원에서 언급된 기판 (벌크 및/또는 층)과 같이 임의의 적합한 재료이거나 이를 포함할 수 있다. 일부 경우에, 기판(302)은 절연체 또는 유전체 재료를 포함할 수 있다. 이들 경우에, 구조물은, 유전체 재료 층(310)을 포함한 유전체 갭 충진 구조물 상의 유전체 층(DOD)을 포함할 수 있다. DOD 갭 충진 구조물은 BEOL 공정, 특히 로직 및 메모리 소자 제조에 유용할 수 있다.
특징부(304, 306)는 절연체, 반도체 또는 전도체 재료와 같은 다양한 재료로 형성될 수 있다. 예로서, 특징부(304, 306)는 Ru, Co, Cu, Ta, TaN, Ti, TiN, W 중 하나 이상을 포함한 금속간 특징부일 수 있으며, 여기서 유전체 재료 층(310)은 둘 이상의 특징부(304, 306) 사이에 금속간 갭 충진 층을 형성한다.
유전체 재료 층(310)은 본원에 설명된 방법에 따라 형성될 수 있다. 본 개시의 예시에 따라, 유전체 재료 층(310)은 실리콘, 산소 및 탄소를 포함한다. 유전체 재료 층(310)은 본원에서 언급된 유전체 재료 층의 다양한 특성을 포함할 수 있다.
도 6은 본 개시의 추가 예시에 따른 FinFET 구조물(600)을 나타낸다. FinFET 구조물(600)은 기판(602), 핀(604), 게이트 특징부(608~612), 및 유전체 재료 층(614)을 포함한다.
기판(602)은 본원에서 언급된 기판 재료와 같이, 임의의 적합한 기판 재료를 포함할 수 있다. 핀(604)은, 예를 들어 실리콘, 게르마늄, 실리콘 게르마늄 또는 이들의 조합 또는 다른 반도체 재료 중 적어도 하나를 포함한, 하나 이상의 횡방향 나노와이어를 포함할 수 있다. 게이트 구조물(608~612)은, 예를 들어 유전체 층 및 전도성 층을 포함할 수 있다. 유전체 재료 층(614)은, 본원에 설명된 방법을 사용하여 형성된 유전체 재료 층을 포함할 수 있다.
도 7은 본 개시의 추가 예시적 구현예에 따른 게이트-올-어라운드 소자 구조물(700)을 나타낸다. 게이트-올-어라운드 소자 구조물(700)은 기판(702), 핀(704~710), 및 유전체 재료 층(712)을 포함한다. 기판(702)은 본원에서 언급된 기판 재료와 같이, 임의의 적합한 기판 재료를 포함할 수 있다. 핀(704~710)은, 예를 들어 실리콘, 게르마늄, 실리콘 게르마늄, 및 이들의 조합 중 적어도 하나와 같은 반도체 재료를 포함할 수 있다. 게이트 구조물은, 예를 들어 유전체 층 및 금속 층을 포함할 수 있다. 유전체 재료 층(712)은, 본원에 설명된 방법을 사용하여 형성된 유전체 재료 층일 수 있거나 이를 포함할 수 있다.
도 8은 본 개시의 추가 예시적 구현예에 따른 교차점(예, 메모리) 소자 구조물(800)을 나타낸다. 교차점 소자 구조물(800)은 복수의 비트 라인(802), 복수의 워드 라인(804), 복수의 메모리 요소(806), 복수의 셀렉터 소자(808), 그리고 메모리 요소(806) 및/또는 셀렉터 소자(808)의 적어도 일부분을 둘러싸는 유전체 재료 층(810)을 포함한다. 유전체 재료 층(810)은, 본원에 설명된 방법을 사용하여 형성된 유전체 재료 층을 포함할 수 있다.
도 9는 본 개시의 추가 예시적 구현예에 따른 구조물(900)을 나타낸다. 구조물(900)은 제1 소자(902), 제2 소자(904), 전도성 플러그(906~916), 상호 연결 구조물(918~928), 및 상호 연결 구조물(918~928)의 적어도 일부분을 둘러싼 유전체 재료 층(930)을 포함한다. 유전체 재료 층(930)은, 본원에 설명된 방법을 사용하여 형성된 유전체 재료 층을 포함할 수 있다. 도 9는, 백-엔드-오브-라인(BEOL) 금속간 유전체(IMD) 갭 충진 응용에 대해 본원에 설명된 방법의 사용을 나타낸다.
도 10은 본 개시의 추가 예시적 구현예에 따른 소자 구조물(1000)을 나타낸다. 소자 구조물(1000)은 절연 재료(1002) 내에 형성된 전도성 특징부(1004~1008), 절연 구조물(1010~1016), 및 전도성 라인(1004~1008)과 절연 구조물(1010~1016) 위에 놓이는 유전체 재료 층(1018)을 포함한다. 유전체 재료 층(1018)은, 본원에 설명된 방법을 사용하여 형성된 유전체 재료 층을 포함할 수 있다. 도 10은, 백-엔드-오브-라인(BEOL)의 완전 정렬된 비아(FAV) 구조물에서 유전체 재료 층(1018)의 사용을 나타낸다.
이제 도 5로 돌아가면, 본 개시의 예시적인 구현예에 따른 반응기 시스템(500)을 나타낸다. 반응기 시스템(500)은, 본원에 설명된 하나 이상의 단계 또는 하위 단계를 수행하고/수행하거나 본원에 설명된 하나 이상의 구조물 또는 이의 부분을 형성하기 위해 사용될 수 있다.
반응기 시스템(500)은, 반응 챔버(3)의 내부(11)(반응 구역)에서 서로 평행하게, 그리고 서로 마주하는 한 쌍의 전기 전도성 평판 전극(4, 2)을 포함한다. 예를 들어, 전원(25)에서 하나의 전극(예, 전극(4))으로 HRF 전력(예, 13.56 MHz 또는 27 MHz) 및/또는 저주파수 전력을 인가하고 다른 전극(예, 전극(2))을 전기적으로 접지함으로써, 플라즈마는 반응 챔버(3) 내에서 여기될 수 있다. 온도 조절기가 하부 스테이지(2)(하부 전극)에 제공될 수 있고, 그 위에 배치된 기판(1)의 온도는 원하는 온도로 유지될 수 있다. 전극(4)은, 샤워 플레이트 같은 가스 분배 장치로서 기능할 수 있다. 반응물 가스, 희석 가스(존재하는 경우), 전구체 가스 및/또는 기타는 각각 가스 라인(20), 가스 라인(21), 및 가스 라인(22), 및 샤워 플레이트(4)를 통해 반응 챔버(3) 내에 도입될 수 있다. 세 개의 가스 라인으로 나타냈지만, 반응기 시스템(500)은 임의 적절한 개수의 가스 라인을 포함할 수 있다.
반응 챔버(3)에 배기 라인(7)을 갖는 원형 덕트(13)가 제공되고, 이를 통해 반응 챔버(3)의 내부(11)에 있는 가스가 배기될 수 있다. 추가적으로, 반응 챔버(3) 아래에 배치된 이송 챔버(5)는, 이송 챔버(5)의 내부(이송 구역)(16)를 통해 반응 챔버(3)의 내부(11)로 밀봉 가스를 유입하기 위한 밀봉 가스 라인(24)을 구비하며, 반응 구역과 이송 구역을 분리하기 위한 분리 판(14)이 제공된다(웨이퍼가 이송 챔버(5)로 또는 이송 챔버로부터 이송되는 게이트 밸브는 본 도면에서 생략됨). 이송 챔버에는 배기 라인(6)이 또한 구비된다. 일부 구현예에서, 증착 및 처리 단계는 동일한 반응 공간에서 수행되어, 두 개 이상의(예, 모든) 단계는, 기판을 공기 또는 다른 산소 함유 대기에 노출시키지 않고 연속적으로 수행될 수 있다.
일부 구현예에서, 불활성 또는 캐리어 가스의 반응 챔버(3)로의 연속적인 흐름은, 캐리어 가스 라인이 전구체 리저버(용기)를 갖는 우회 라인을 구비하고 메인 라인과 우회 라인이 스위칭되는, 유동-통과 시스템(FPS)을 이용하여 달성될 수 있고, 캐리어 가스만을 반응 챔버에 공급하고자 할 때에는 우회 라인이 닫히고, 반면 캐리어 가스와 전구체 가스 모두를 반응 챔버에 공급하고자 할 때에는 메인 라인이 닫히게 되어, 캐리어 가스는 우회 라인을 통해 흐르고 전구체 가스와 함께 용기로부터 흘러 나온다. 이 방식으로, 캐리어 가스는 반응 챔버 내로 연속해서 흐를 수 있고, 메인 라인과 우회 라인 사이를 스위칭함으로써, 실질적으로 반응 챔버의 압력 요동 없이, 전구체 가스를 펄스로 운반할 수 있다.
장치는, 본원에 설명된 하나 이상의 방법 단계를 수행하도록 달리 구성되거나 프로그래밍된 하나 이상의 제어기(들)(26)를 포함할 수 있음을 당업자는 이해할 것이다. 제어기(들)는, 당업자가 이해하는 바와 같이, 다양한 전력원, 가열 시스템, 펌프, 로보틱스, 및 반응기의 가스 유량 제어기 또는 밸브들과 통신한다.
일부 구현예에서, 듀얼 챔버 반응기(서로 근접하게 배치된 웨이퍼를 처리하기 위한 2개의 섹션 또는 컴파트먼트)가 사용될 수 있고, 반응물 가스 및 귀가스는 공유된 라인을 통해 공급될 수 있는 반면, 전구체 가스는 공유되지 않는 라인을 통해 공급된다.
위에 설명된 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (44)

  1. 기판 표면 상에 유전체 재료를 형성하는 방법으로서, 상기 방법은,
    기판을 반응기 시스템의 반응 챔버 내에 제공하는 단계;
    하나 이상의 전구체를 상기 반응 챔버에 제공하는 단계; 및
    상기 반응 챔버 내에서 상기 하나 이상의 전구체를 중합하기 위해 펄스형 플라즈마 전력을 제공하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 반응 챔버에 반응물을 제공하는 단계를 추가로 포함하는 방법.
  3. 제2항에 있어서, 상기 반응물은 질소 및 수소 중 하나 이상을 포함하는, 방법.
  4. 제3항에 있어서, 상기 반응물은 NH3, 질소, 수소, 및 아미노 계열 반응물, 예컨대 히드라진, 모노메틸아민, 디메틸아민, 트리메틸아민, 모노에틸아민, 및 디에틸아민 중 하나 이상을 임의의 조합으로 포함하는, 방법.
  5. 제3항 또는 제4항에 있어서, 상기 하나 이상의 전구체에 대한 상기 질소 및 수소 반응물의 부피 비율은 10 미만 또는 약 3 내지 약 5인, 방법.
  6. 제2항 내지 제5항 중 어느 한 항에 있어서, 상기 반응물은 산화제를 포함하는, 방법.
  7. 제6항에 있어서, 상기 하나 이상의 전구체에 대한 상기 산화제의 부피 비율은 10 미만 또는 약 7 내지 약 10인, 방법.
  8. 제6항 또는 제7항에 있어서, 상기 산화제는 O2, O3, N2O, N2O4, NxOy, CO, CO2, H2O, 및 H2O2 중 하나 이상, 그리고 다음 화학식으로 표시된 산소 함유(예, 액체) 화합물, CxHyOz로 이루어진 군으로부터 선택되며, 여기서 x는 1 내지 5이고, y는 4 내지 16이고, Z는 1 내지 4, 예컨대 메탄올, 에탄올, 및 이소프로필 알코올이고, 이의 임의의 조합인 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 방법은 PECVD 방법을 포함하는, 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 공정 온도는 450°C 미만인, 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 펄스형 플라즈마 전력을 생성하기 위한 전력은 2000 W 미만인, 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 펄스형 플라즈마 전력을 제공하는 단계용 전력 주파수는, 단일 또는 이중 RF 전력 공급원을 이용하여 1 kHz 내지 200 MHz의 RF 주파수인, 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 펄스 오프 시간은 펄스 온 시간의 2배를 초과하거나, RF 온 듀티 사이클이 50% 미만인, 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 상기 펄스형 플라즈마 전력을 제공하는 단계용 전력 주파수는, 1 MHz를 초과하는 고 RF 주파수 및 500 kHz 미만의 저 RF 주파수를 포함하는, 방법.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 상기 하나 이상의 전구체는 실리콘을 포함한 화합물을 포함하는, 방법.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서, 상기 하나 이상의 전구체는 탄소를 포함한 화합물을 포함하는, 방법.
  17. 제1항 내지 제16항 중 어느 한 항에 있어서, 상기 하나 이상의 전구체는 순환형 구조를 포함한 화합물을 포함하는, 방법.
  18. 제17항에 있어서, 상기 순환형 구조는 실리콘을 포함하는, 방법.
  19. 제17항 또는 제18항에 있어서, 상기 순환형 구조는 실리콘과 산소를 포함하는, 방법.
  20. 제1항 내지 제19항 중 어느 한 항에 있어서, 상기 하나 이상의 전구체는 Si-O 결합을 포함한 화합물을 포함하는, 방법.
  21. 제1항 내지 제20항 중 어느 한 항에 있어서, 상기 하나 이상의 전구체는 유기 실리콘 화합물을 포함한 화합물을 포함하는, 방법.
  22. 제1항 내지 제21항 중 어느 한 항에 있어서, 상기 하나 이상의 전구체는, 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸시클로테트라실록산(TMCTS), 옥타메톡시도데카실록산(OMODDS), 옥타메톡시시클리오일옥산, 디메틸디메톡시실란(DM-DMOS), 디에톡시메틸실란(DEMS), 디메톡시메틸메틸실란(DMOMS), 페녹시디메틸디메틸실란(PODMS), 디메틸디옥소실릴시클로헥산(DMDOSH), 1,3-디메톡시테트라메틸디실록산(DMOTMDS), 디메톡시디페닐실란(DMDPS), 및 디시클로펜티디메톡시실란(DcPDMS)을 포함하는, 방법.
  23. 제1항 내지 제22항 중 어느 한 항에 있어서, 상기 하나 이상의 전구체는 아미노-알킬 실록산 전구체를 포함하는, 방법.
  24. 제23항에 있어서, 상기 아미노-알킬 실록산 전구체는 1,3-비스(3아미노프로필)테트라메틸디실록산을 포함하는, 방법.
  25. 제1항 내지 제26항 중 어느 한 항에 있어서, 상기 하나 이상의 전구체 중 적어도 하나는 -(Si(R1,R2)-O)n-로 표시되는 화학식을 포함한 고리 구조를 포함하고, n은 약 3 내지 약 10의 범위인, 방법.
  26. 제25항에 있어서, n = 4이고 R1 = R2 = CH3인, 방법.
  27. 제25항에 있어서, n = 4이고 R1 = H이고 R2 = CH3인, 방법.
  28. 제1항 내지 제27항 중 어느 한 항에 있어서, 상기 하나 이상의 전구체 중 적어도 하나는 R3-(Si(R1,R2)m-O(m-1))-R4로 표시되는 화학식을 포함한 선형 구조를 포함하고, m은 약 1 내지 약 7의 범위일 수 있는, 방법.
  29. 제28항에 있어서, m = 1, R1 = R2 = CH3, 및 R3 = R4 = OCH3인, 방법.
  30. 제28항에 있어서, m = 2, R1 = R2 = CH3, 및 R3 = R4 = OCH3인, 방법.
  31. 제28항에 있어서, m = 2, R1 = C3H6-NH2, R2 = CH3, 및 R3 = R4 = CH3인, 방법.
  32. 제1항 내지 제31항 중 어느 한 항에 있어서, 불활성 가스를 이용하거나 이의 용량성 결합 플라즈마(CCP), 마이크로파 여기, 초고주파수(VHF) 여기, 및 불활성 가스의 자외선(UV) 여기 중 하나 이상을 사용하는 단계를 포함한, 증착후 처리를 수행하는 단계를 추가로 포함하는, 방법.
  33. 제32항에 있어서, 상기 증착후 처리를 수행하는 단계 동안에 상기 기판의 온도는 500°C 미만인 방법.
  34. 제1항 내지 제33항 중 어느 한 항에 있어서, 열적 경화 단계를 추가로 포함하는 방법.
  35. 제34항에 있어서, 상기 열 경화 단계는, 중합된 재료를 경화시키기 위해 COx, O2, O3, 이소프로필 알코올, H2O 및 불활성 가스 중 하나 이상을 제공하는 단계를 포함하는, 방법.
  36. 제34항 또는 제35항에 있어서, 상기 열 경화 단계 동안에 상기 기판의 온도는 500°C 미만인, 방법.
  37. 제1항 내지 제36항 중 어느 한 항의 방법에 따라 형성된 상기 유전체 재료 층을 포함하는 구조물.
  38. 제37항에 있어서, 상기 재료의 유전 상수는 약 2.2 내지 약 4.2 또는 10 미만인, 구조물.
  39. 제37항 또는 제38항에 있어서, 상기 구조물은 Ru, Co, Cu, Ta, TaN, Ti, TiN, W 중 하나 이상을 포함한 금속간 특징부를 포함하고, 상기 유전체 재료 층은 상기 특징부 중 둘 이상 사이에 금속간 갭 충진 층을 형성하는, 구조물.
  40. 제1항 내지 제36항 중 어느 한 항의 방법에 따라 형성된 상기 유전체 재료 층을 포함하는, 얕은 트렌치 분리 층을 포함한 FinFET 소자.
  41. 제1항 내지 제36항 중 어느 한 항의 방법에 따라 형성된 상기 유전체 재료 층을 포함하는, 게이트-올-어라운드 나노와이어 FET 소자.
  42. 제1항 내지 제36항 중 어느 한 항의 방법에 따라 형성된 상기 유전체 재료 층을 포함하는 교차점 소자.
  43. 제1항 내지 제36항 중 어느 한 항의 방법에 따라 형성된 상기 유전체 재료 층을 포함하는, BEOL IMD 갭 충진 포함 유전체 상의 유전체 층(DOD) 구조물을 포함한 메모리 또는 논리 소자.
  44. 제1항 내지 제26항 중 어느 한 항의 방법의 상기 단계를 수행하기 위한 시스템.
KR1020210014789A 2020-02-14 2021-02-02 펄스형 플라즈마 전력을 사용하여 유전체 재료 층을 형성하기 위한 방법, 이 층을 포함한 구조물 및 소자, 그리고 이 층을 형성하기 위한 시스템 KR20210105289A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062976796P 2020-02-14 2020-02-14
US62/976,796 2020-02-14

Publications (1)

Publication Number Publication Date
KR20210105289A true KR20210105289A (ko) 2021-08-26

Family

ID=77228094

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210014789A KR20210105289A (ko) 2020-02-14 2021-02-02 펄스형 플라즈마 전력을 사용하여 유전체 재료 층을 형성하기 위한 방법, 이 층을 포함한 구조물 및 소자, 그리고 이 층을 형성하기 위한 시스템

Country Status (4)

Country Link
US (1) US20210257213A1 (ko)
KR (1) KR20210105289A (ko)
CN (1) CN113270310A (ko)
TW (1) TW202139785A (ko)

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230094012A1 (en) * 2021-09-15 2023-03-30 Applied Materials, Inc. Rf pulsing assisted low-k film deposition with high mechanical strength

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL77130A (en) * 1985-11-25 1991-03-10 Bromine Compounds Ltd Fire retardant polymer compositions
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
JP5188781B2 (ja) * 2007-11-13 2013-04-24 月島機械株式会社 プラズマ処理装置及びプラスチック表面保護膜の形成方法
EP2245647B1 (en) * 2008-02-21 2012-08-01 Fujifilm Manufacturing Europe B.V. Method for treatment of a substrate with atmospheric pressure glow discharge electrode configuration
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films

Also Published As

Publication number Publication date
TW202139785A (zh) 2021-10-16
US20210257213A1 (en) 2021-08-19
CN113270310A (zh) 2021-08-17

Similar Documents

Publication Publication Date Title
KR20210105289A (ko) 펄스형 플라즈마 전력을 사용하여 유전체 재료 층을 형성하기 위한 방법, 이 층을 포함한 구조물 및 소자, 그리고 이 층을 형성하기 위한 시스템
US20210265158A1 (en) Method of forming low-k material layer, structure including the layer, and system for forming same
US20210249303A1 (en) Methods for depositing gap filling fluids and related systems and devices
US20210238742A1 (en) Method of forming a structure including carbon material, structure formed using the method, and system for forming the structure
KR20210062561A (ko) 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
KR20210093163A (ko) 고 종횡비 피처를 형성하는 방법
JP2020136677A (ja) 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20210010817A (ko) 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN111630203A (zh) 通过等离子体辅助沉积来沉积间隙填充层的方法
US10121966B2 (en) Semiconductor device structures including silicon-containing dielectric materials
KR20090060768A (ko) 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
KR20030089312A (ko) 반도체 소자의 절연막 형성 방법
TW201432085A (zh) 使用高密度電漿之金屬處理
TWI610354B (zh) 直接電漿緻密化製程及半導體裝置
US7094681B2 (en) Semiconductor device fabrication method
KR20220113637A (ko) 기판 표면 상의 리세스를 충진하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이를 형성하기 위한 시스템
KR20100093349A (ko) 기상 박막 형성 방법 및 반도체 집적 회로 장치의 제조 방법
KR20220082751A (ko) 실리콘-탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US20230043629A1 (en) Method of forming a structure including a silicon carbide layer
KR20220143579A (ko) 유동성 탄소 층으로 갭을 충진하는 방법
KR101026477B1 (ko) 반도체 소자의 캐패시터 형성방법
KR20230100631A (ko) 고주파 전력을 갖는 저 유전율 재료 층을 형성하는 방법, 상기 층을 포함하는 구조, 및 이를 형성하기 위한 시스템
KR20220081905A (ko) 실리콘 질화물 증착용 실리콘 전구체
KR20240017753A (ko) 처리된 실리콘-탄소 재료를 형성하는 방법

Legal Events

Date Code Title Description
A201 Request for examination