JP2011054878A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2011054878A
JP2011054878A JP2009204581A JP2009204581A JP2011054878A JP 2011054878 A JP2011054878 A JP 2011054878A JP 2009204581 A JP2009204581 A JP 2009204581A JP 2009204581 A JP2009204581 A JP 2009204581A JP 2011054878 A JP2011054878 A JP 2011054878A
Authority
JP
Japan
Prior art keywords
metal
film
gate electrode
insulating film
gate insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009204581A
Other languages
English (en)
Inventor
Jun Suzuki
純 鈴木
Takaaki Sakurai
隆明 櫻井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to JP2009204581A priority Critical patent/JP2011054878A/ja
Priority to PCT/JP2010/001183 priority patent/WO2011027481A1/ja
Publication of JP2011054878A publication Critical patent/JP2011054878A/ja
Priority to US13/397,890 priority patent/US20120146113A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers

Abstract

【課題】高誘電体ゲート絶縁膜/メタルゲート電極のMOSトランジスタ構造において、メタルゲート電極側壁の酸化層を抑制し、トランジスタ駆動能力を改善する。
【解決手段】基板101上に、金属含有膜110を形成する工程(a)と、反応室内において金属含有膜にアンモニアラジカルを曝露する工程(b)と、反応室内に不活性ガスを供給し、工程(b)において生じたガスを排気する工程(c)と、工程(b)及び工程(c)を所定の回数繰り返した後に、大気曝露することなく、反応室内において金属含有膜110を覆うシリコン窒化膜100aを形成する工程(d)とを備える。
【選択図】図7

Description

本発明は、半導体装置及びその製造方法に関し、詳細には、高誘電体ゲート絶縁膜及びメタルゲート電極構造を有する半導体装置について、メタルゲート電極側壁を改良することに関する。
半導体装置の高速化・高集積化に伴い、トランジスタ形状の微細化が進められている。
半導体装置のうち、相補型MOS(CMOS、Complementary Metal Oxide Semiconductor )デバイスでは、NMOS(n-channel MOS)とPMOS(p-channel MOS)との二種類のトランジスタが用いられる。NMOSトランジスタは電子の移動によって、PMOSトランジスタは正孔の移動によって、それぞれ電流のオン・オフを制御する。
従来、CMOSデバイスに用いられるゲート絶縁膜は一般的にシリコン酸化膜により形成され、誘電率は3.9程度を示す。しかし、トランジスタの微細化に伴ってゲート絶縁膜が薄膜化されると、リーク電流が増大し、消費電力及び待機電力の高いデバイスとなってしまう。そこで、4.0以上の誘電率を有するゲート絶縁膜を用いることにより、実際の膜厚はシリコン酸化膜より厚くても、実効的な膜厚(EOT)を薄くできるHigh-k(高誘電体)ゲート絶縁膜の開発が進んでいる。
しかしながら、従来のポリシリコンゲート電極とHigh-kゲート電極とを組み合わせただけでは、ゲート電極の空乏化と呼ばれる現象が生じる。これは、High-kゲート絶縁膜とポリシリコンゲート電極との間に空乏層容量が形成され、EOTが薄いというHigh-kゲート絶縁膜の利点が失われる現象である。ゲート電極の空乏化を防ぐためには、ポリシリコンゲート電極に代えて金属ゲート電極を組み合わることが必須である。更に、High-kゲート絶縁膜/金属ゲート電極による適正な閾値電圧(Vt)の制御がCMOSデバイスを構築する上で重要になっている。
従来のシリコン酸化膜ゲート絶縁膜/ポリシリコンゲート電極の場合、ポリシリコン中にホウ素、リン等の不純物をイオン注入し、熱処理によって活性化することで、ポリシリコンの仕事関数を向上することができる。例えば、ノンドープ状態の仕事関数は4.65eVであるのに対し、ホウ素をイオン注入することにより、5.15eVまで向上することができる。これを利用してNMOS及びPMOSのVtを制御することが可能であった。
しかし、High-kゲート絶縁膜を用いる場合、High-kゲート絶縁膜中に存在する高密度のトラップにより、フェルミレベルが固定されてしまうフェルミレベルピニング現象が起きる。このため、イオン注入によるドーピングレベルでは仕事関数を変化させることができず、閾値電圧の制御は不可能であった。更に、金属ゲート電極とポリシリコンゲート電極とを組み合わせたMIPS(Metal-Inserted-Poly-Si Stack)と呼ばれる構造においても、イオン注入による仕事関数の調整は難しく、ゲート電極に用いられる金属の仕事関数がVt制御に対して支配的になる。
このようなHigh-kゲート絶縁膜と金属ゲート電極との組み合わせにおける仕事関数の研究においては、チタン、タングステン、タンタル、モリブデンの窒化物が用いられている。特に、DRAM電極材料として、従来用いられてきたチタンやタングステンの窒化物が、ドライエッチング、ウェットエッチング等の加工特性を考える上で、金属ゲート電極材料としては扱いやすい。
また、MIPSゲート構造を形成した後には、エクステンションイオン注入層を形成するために、ゲート電極側壁にオフセットスペーサを形成する。High-k・メタルゲート構造の場合、従来のようにシリコン酸化膜を用いてオフセットスペーサを形成しようとすると、原料ガスである酸化剤によってメタルゲート電極が酸化されてしまう。そこで、シリコン酸化膜に代えて、シリコン窒化膜を採用することが多い。
非特許文献1では、このようなオフセットスペーサとなるシリコン窒化膜の成膜温度と、シリコンソースである原料ガスを変えることにより、トランジスタの駆動能力を改善できると述べられている。具体的には、400℃程度の低温にて塩素を含まないシリコンソースを用い、シリコン窒化膜を形成することが述べられている。
特開2004−186534号公報
N.Mise , et al. Solid State Devices and Materials, 2007, pp. 724-725
しかしながら、ゲートメタル膜と、その上のPoly−Si膜とを形成した後、レジストによりパターニングしてゲートエッチングを行なうと、レジストを除去するためのプラズマ酸化によるアッシング、空気中に曝露されるために起る自然酸化により、メタルゲート電極側壁が酸化される。
メタルゲート電極側壁の酸化は、自然酸化膜であれば1nm〜2nm程度、アッシング酸化膜であれば2nm〜5nm程度の厚さに酸化されていると予想できる。このようなメタルの酸化、つまり絶縁膜化が生じると、メタルゲート電極の利点が損なわれる。特に、ゲート長が短くなるほど、同じ厚さの酸化膜であってもゲート長に対する割合が大きくなるため、影響は大きくなる。
このようなメタル酸化膜を除去しようとして、例えばフッ酸系の洗浄を行なったとすると、High-kゲート絶縁膜も同時にエッチングされることが懸念される。このため、過度の洗浄は行えない。更に、洗浄を行なったとしても、オフセットスペーサとなるシリコン窒化膜を形成する前に大気曝露されるため、メタルゲート電極側壁には必ず酸化膜が形成されることになる。
以上に鑑み、本発明の目的は、High-kゲート絶縁膜/メタルゲート電極構造において、メタルゲート電極の酸化層を抑制し、トランジスタの駆動能力を改善することである。
前記の目的を達成するため、本開示に係る半導体装置の製造方法は、基板上に金属含有膜を形成する工程(a)と、反応室内において金属含有膜にアンモニアラジカルを曝露する工程(b)と、反応室内に不活性ガスを供給し、工程(b)において生じたガスを排気する工程(c)と、工程(b)及び工程(c)を所定の回数繰り返した後、大気曝露することなく、反応室内において金属含有膜を覆うシリコン窒化膜を形成する工程(d)とを備える。
尚、工程(b)及び工程(c)は、金属含有膜の表面に形成された自然酸化膜が還元されるまで繰り返すことが好ましい。
このような半導体装置の製造方法によると、工程(b)及び工程(c)において、金属含有膜表面に形成された自然酸化膜をアンモニアラジカルによって還元すると共に窒化することができる。つまり、金属含有膜表面に形成された自然酸化膜中の酸素とアンモニアラジカル中の水素とを反応させて水として脱離させると共に、アンモニアラジカル中の窒素と、酸素が脱離して残された金属とを結合させる。このような工程(b)と、該工程(b)において生じたガス(脱離した水等)を不活性ガスにより排気する(パージする)行程(c)とを交互に繰り返した後、工程(d)として、大気曝露することなく同じ反応室にて金属含有膜を覆うシリコン窒化膜を形成することにより、金属含有膜が再度自然酸化されるのを防ぐことができる。この結果、金属含有膜としてメタルゲート電極を形成した場合に、酸化膜に起因する駆動力の低下を抑制することができる。
また、工程(b)は、400℃以上で且つ800℃以下の温度範囲において行なうことが好ましい。
また、アンモニアラジカルは、高周波電圧が印加された一対の電極板の間にアンモニアを供給することにより発生させることが好ましい。
また、アンモニアラジカルは、金属触媒にアンモニアを供給し且つ紫外線を照射することにより発生させることが好ましい。金属触媒は、白金族元素、Ti、Zr又はMnを含むことが好ましい。
アンモニアラジカルは、このような方法により発生させることができる。
また、金属含有膜は、基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、高誘電体ゲート絶縁膜は、4族元素の酸化物と、4族元素及びSiの酸化物と、4族元素及びAlの酸化物とのうちの少なくとも一つを含むことが好ましい。更に、4族元素は、Hf及びZrの少なくとも一つであることが好ましい。
また、金属含有膜は、基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、メタルゲート電極は、金属元素を主成分とした合金、金属元素を主成分とした合金の窒化物、又は、金属元素を主成分としたSiを含む合金の窒化物により構成されることが好ましい。更に、金属元素は、Ti、W、Ta、Ru及びAlの少なくとも一つであることが好ましい。
金属含有膜は、基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、高誘電体ゲート絶縁膜及びメタルゲート電極を含むpチャネルトランジスタが構成され、高誘電体ゲート絶縁膜は、AlO及びTaOの少なくとも一つを含む。
また、金属含有膜は、基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、高誘電体ゲート絶縁膜及びメタルゲート電極を含むnチャネルトランジスタが構成され、高誘電体ゲート絶縁膜は、LaO及びMgOの少なくとも一つを含む。
半導体装置のより具体的な構成として、このようになっていても良い。
また、金属含有膜は、基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、工程(a)において、メタルゲート電極を形成する前に、高誘電体ゲート絶縁膜に対して700℃以上で且つ1100℃以下の熱処理を行なう工程を更に備えることが好ましい。特に、1000℃程度の熱処理であることが好ましい。
このようにすると、高誘電体ゲート絶縁膜が還元されるのを防ぎながら、自然酸化膜を選択的に還元することができる。高誘電体ゲート絶縁膜が還元されると絶縁膜としての機能が損なわれてリーク電流増加等の原因となるため、これを防ぐことが望ましい。
また、金属含有膜は、基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、シリコン窒化膜は、ALD(Atomic Layer Deposition )法により形成され、オフセットスペーサとなることが好ましい。
次に、本開示に係る半導体装置は、基板上に、高誘電体ゲート絶縁膜を介してメタルゲート電極が形成されたトランジスタ構造を備え、メタルゲート電極側壁にシリコン窒化膜からなるオフセットスペーサが形成され、メタルゲート電極と、オフセットスペーサとの間に偏析している酸素の濃度が1×1020atoms/cm3 以下である。
このような半導体装置は、メタルゲート電極とオフセットスペーサとの間の酸素の濃度が十分に低く、メタルゲート電極の酸化に起因する駆動力の低下を避けることができる。
本発明によると、メタルゲート電極の側壁に形成された自然酸化膜について、オフセットスペーサを形成する反応室内にて還元・窒化することにより、メタルゲート電極の酸化膜に起因する駆動力低下を避けることができる。
図1は、本発明の一実施形態に係る例示的半導体装置について、概略構成を示す断面図である。 図2は、ゲート長に対するゲートリーク電流の非線形性を示す図である。 図3は、シリコン窒化膜とTiN膜界面の酸化層について調べたSIMS分析の結果である。 図4(a)は、本発明の一実施形態におけるTiNの成膜シーケンスを例示した図であり、図4(b)は、アンモニアラジカルの発生機構を例示した図である。 図5(a)は、アンモニアラジカルとチタン酸化膜との反応機構を説明する図であり、図5(b)は、プラズマ窒化によるチタン酸化膜の反応機構を説明する図である。 図6は、HfSiON及びTiNに関し、TiNの選択還元性を示す図である。 図7(a)は、ゲート長に対するゲートリーク電流を実施例と比較例について示す図であり、図7(b)は、トランジスタ駆動電流を実施例と比較例とについて示す図す図である。 図8(a)〜(f)は、本発明の一実施形態における例示的半導体装置の製造方法を説明する模式的な断面図である。
以下、本発明の一実施形態に係る半導体装置及びその製造方法について、図面を参照しながら説明する。図1は、本発明の第1の実施形態の例示的半導体装置150が有するCMOS構造の断面を概略として示す図である。
図1に示す通り、半導体装置150は、シリコン基板101を用いて形成されている。シリコン基板101の表面部は、STI(Shallow Trench Isolation)形状のシリコン酸化膜からなる素子分離層104によって区画され、各区画にはイオン注入によって形成されたn型ウェル領域102及びp型ウェル領域103が配置されている。
n型ウェル領域102には、pチャネルトランジスタ105が形成されている。pチャネルトランジスタ105は、n型ウェル領域102上に形成されたHigh-k(高誘電体)ゲート絶縁膜であるゲート絶縁膜109と、その上に形成されたPMOS用のメタルゲート電極110と、メタルゲート電極110上に形成され、ホウ素等の不純物がイオン注入されたポリシリコン電極111とを備える。メタルゲート電極110の両側部分のn型ウェル領域102には、イオン注入により形成されたp型エクステンション層108と、更にその外側に形成されたp型拡散層107とが位置している。メタルゲート電極110及びポリシリコン電極111の側壁を覆うように、シリコン窒化膜によって形成されたオフセットスペーサ100が形成され、更にその側面に、シリコン酸化膜及びシリコン窒化膜からなるサイドウォール112が形成されている。
また、p型拡散層107及びp型エクステンション層108により形成されるソース・ドレイン領域上と、ポリシリコン電極111上とについては、ニッケルシリサイド(NiSi)又はニッケル白金シリサイド(NiPtSi)によりシリサイド化されている(図示は省略)。更に、前記p型のソース・ドレイン領域には、ゲルマニウム(Ge)を10%〜30%含んだSiGeエピタキシャル層(図示省略)が形成されていても良い。
次に、p型ウェル領域103には、nチャネルトランジスタ106が形成されている。これは、High-kゲート絶縁膜であるゲート絶縁膜115と、その上のNMOS用のメタルゲート電極116と、メタルゲート電極116の両側部分のp型ウェル領域103に形成されたn型拡散層113及びn型エクステンション層114とを有する。更に、メタルゲート電極116上にリン等の不純物がイオン注入されたポリシリコン電極117が形成されている。メタルゲート電極116及びポリシリコン電極117の側壁を覆うように、シリコン窒化膜によって形成されたオフセットスペーサ100が形成され、更にその側面に、シリコン酸化膜及びシリコン窒化膜からなるサイドウォール118が形成されている。
また、n型拡散層113及びn型エクステンション層114により形成されたソース・ドレイン領域上と、ポリシリコン電極117上とは、ニッケルシリサイド(NiSi)又はニッケル白金シリサイド(NiPtSi)によりシリサイド化されている(図示は省略)。更に、前記n型ソース・ドレイン領域には、炭素を1%〜3%含んだカーボンドープSiエピタキシャル層(図示省略)が形成されていても良い。
尚、pチャネルトランジスタ105におけるゲート絶縁膜109は、Hf、Si及びZrを含む酸化膜により構成されたHigh-k膜中に、仕事関数を制御するためのAl、Ta等を含んでいる。また、nチャネルトランジスタのゲート絶縁膜115は、Hf、Si及びZrを含む酸化膜により構成されたHigh-k膜中に、仕事関数を制御するためのLa、Mg等を含んでいる。
ここで、本実施形態の半導体装置150の特徴の一つとして、オフセットスペーサ100と、メタルゲート電極110、116との界面における酸素濃度は、主成分レベルを意味するSIMSによる体積原子濃度として示すとき、1.0×1020atoms/cm3 以下になっている。前述の通り、非特許文献1には、オフセットスペーサシリコン窒化膜の成膜温度、原料ガスに含まれる塩素量に関して記載がある。これに対し、本実施形態の場合、オフセットスペーサ100とメタルゲート電極110、116との間の酸素量に注目している。特に、シリコン窒化膜を成膜するのと同じ炉内にて、High-kゲート絶縁膜を還元することなく、メタルゲート電極の側壁に発生した酸化膜のみを選択的に還元し、更に再窒化を行なうことが特徴の一つとなっている。
ここで、前記の界面における酸素濃度と、半導体装置の性能との関係について図2を参照して以下に説明する。次に、図2は、ゲート長に対するゲートリーク電流を示している。通常、次の式1(Ωの法則)に示すように、ゲートリーク電流(Ig)は、電圧(Vg)が一定の場合、ゲート長(Lg)に比例すると考えられる。
Ig=Vg*Lg …… 式1
しかしながら、実際には、ゲート長Lgが短くなるにつれて、リーク電流がオームの法則には従わず、式1から予想されるよりも大きく低下する傾向を示す。これは、メタルゲート電極の側壁が酸化されて絶縁膜となっており、ゲート長が短くなるにつれてそのような絶縁膜のゲート長に占める割合が増えているためと考えられる。
また、メタルゲート電極の側壁が酸化されると、負の固定電荷が生成されて駆動能力が低下することが知られている。これは、GEM(Gate Edge Metamorphoses )と総称される現象である。これを防いで駆動能力を改善するためには、メタルゲート電極の側壁の酸化層を取り除くことが有効と考えられる。
尚、ここで想定しているメタルゲート電極は、High-kゲート絶縁膜・メタルゲート構造に用いられる金属材料からなるものである。具体的には、Al、Ti、Ta、W、Ru等の金属からなっていても良いし、これらの幾つかを含む合金からなっていても良い。また、前記金属又は合金の窒化膜、炭化窒化膜でも良い。更には、前記金属とシリコンとを含む窒化膜からなっていても良い。
次に、メタルゲート電極上にシリコン酸化膜を形成する方法と、メタルゲート電極の表面に生じる酸化膜との関係について、図3を参照して説明する。図3は、パターンが形成されていないシリコンウェハ上に膜厚15nmのチタン窒化膜を形成した後、シリコン窒化膜を形成した場合について、ゲート電極側壁の酸化量を見積もるための測定を行なった結果である。具体的には、以下の3通りの工程を用いた場合について、シリコン窒化膜とチタン窒化膜(メタルゲート電極に相当する)との界面における酸素の分布を調べたSIMS(2次イオン質量分析法)の結果を示している。
まず、レジストを塗布し、プラズマアッシングによりレジストを除去した後、シリコン窒化膜を形成した場合について、○印(白抜きの丸印)により示している。
また、シリコン窒化膜を形成する前に、フッ酸系のポリマー洗浄液を用い、チタン窒化膜上に形成されたアッシング酸化膜及び自然酸化膜をエッチングして除去し、その後にシリコン窒化膜を形成した場合について、×印により示している。
また、シリコン窒化膜を形成する前に、上記と同様のポリマー洗浄を行なうと共に、シリコン窒化膜を成膜する炉内にてアンモニアラジカル処理を行ない、その後にシリコン窒化膜を形成した場合について、実線により示している。アンモニアラジカル処理は、アンモニアラジカルを例えば40サイクル加え、チタン窒化膜上の酸化膜を還元すると共に再窒化する処理である。
ここで、図3の横軸は、スパッタリングレートから換算した膜厚であり、横軸左側がSiN膜上部側、横軸右側がシリコン基板側である。また、縦軸は単位体積あたりの酸素原子の個数(atoms/cm3 )を示す。
尚、SIMSの測定方法としては、Cs+ の一次イオン種を用い、酸素の検出には18酸素を用いた。加速エネルギーは500eVとした。
図3の酸化層に示すように、シリコン窒化膜とチタン窒化膜との間に、アッシング酸化膜又は自然酸化膜に起因する酸素プロファイルが見られるが、酸素濃度はそれぞれ違っている。
アッシング酸化した状態においてシリコン窒化膜を形成した場合(図3の○)には、シリコン窒化膜とチタン窒化膜とに形成された酸化層から、シリコン窒化膜側に酸素が拡散している。このため、シリコン窒化膜内における酸素濃度が4×1020atoms/cm3 程度存在する。
これに対し、ポリマー洗浄のみを追加した場合(図3の×)には、シリコン窒化膜中の酸素濃度が2×1020atoms/cm3 程度にまで減少する。
更に、ポリマー洗浄に加えてアンモニアラジカル処理も追加した場合(図3の実線)、シリコン窒化膜とチタン窒化膜との界面における酸素濃度が低下し、シリコン窒化膜中の酸素濃度についても1×1020atoms/cm3 程度にまで低減される。
このように、アンモニアラジカルによる処理の後にオフセットスペーサとなるシリコン窒化膜を形成することにより、メタルゲート電極側壁に形成された酸化膜を効果的に除去することができる。メタルゲート電極がシリコン窒化膜によって覆われるので、この後に大気曝露したとしても、メタルゲート電極の側壁が再酸化されることはない。
次に、メタルゲート電極とシリコン酸化膜との界面における酸素濃度を低下させることができる前記のアンモニアラジカル処理及びシリコン窒化膜の形成について、図4(a)及び(b)を参照して更に説明する。
図4(a)は、シリコン窒化膜を形成する前に、同じ炉内においてアンモニアラジカルによる選択還元処理を行なうALDシーケンスを簡略に示している。
初めに、シリコンウェハ上に形成されたメタルゲート電極側壁について、そこに付着している酸素を還元により除去すると共に、再窒化する。このためには、アンモニアラジカルと、不活性ガス(この例では窒素)とを交互に供給する。
アンモニアラジカルによる処理について、炉内温度は400℃以上で且つ800℃以下であること、炉内圧力は133Pa(1Torr)であることが望ましい(炉内温度については、400℃以上で且つ600℃以下であることが更に望ましい)。アンモニアラジカルを流す時間は炉内の容積に関係する。例えば、400リットル程度の容積を有する縦型バッチ装置の場合、1秒〜100秒程度必要である。別の例として、炉内の容積が小さい枚葉式装置の場合には、数msec(ミリ秒)の曝露でも還元を行なうことが可能である。
還元ガスは、アンモニアラジカルに代表される水素化合物である。ガス中の水素が、メタルゲート電極に付着している酸素と熱反応し、水として酸素原子を脱離させる。このようにして脱離した水を除去するために、不活性ガスを用いてパージを行なう。不活性ガスとしては、Arに代表される希ガス又はN2 が望ましい。アンモニアを流していたガスラインを不活性ガスに切り替え、炉内、ガスインジェクション部をパージすることが望ましく、例えば、2slm (1気圧、0℃の標準状態におけるリットル毎分)のN2 ガスを1秒〜10秒程度流すことが望ましい。
以上のようなアンモニアラジカルによる曝露と不活性ガスによる曝露とを所定回数(図4(a)の場合は3回であるが、これには限らない)繰り返し、メタルゲート電極側壁における酸素量を所望量にする。
この後、シリコンウェハを大気曝露することなく、同じ炉内にシリコンソースを導入し、シリコン窒化膜を形成する。シリコンソースとしては、ジクロルシラン(DCS)、モノシラン、ヘキサクロロシラン等が適している。図4(a)では、ジクロルシランを用い、炉内圧力を665Pa(5Torr)として1slm 流している。ジクロルシランを0.5秒曝露した後、不活性ガスにより1秒パージし、更にアンモニアラジカルを20秒流し、不活性ガスを5秒流す。これを一つのサイクルとして、所望の膜厚のシリコン窒化膜が形成されるまで必要な回数繰り返す。
以上のようにして、メタルゲート電極の表面にシリコン酸化膜を形成すると共に、これらの界面における酸素濃度を低く抑えることができる。
次に、アンモニアラジカルの発生方法について、一例を図4(b)に示す。図4(b)に示す方法では、アンモニアを供給する配管141内にニッケルからなる一対の平板電極142を配置し、これら2枚の平板電極142間に高周波(RF)を印加する。この際、例えば、アンモニアの流量を2slm とし、放電用電極である平板電極142には電力400Wの高周波電圧を印加する。これにより、平板電極142間を流れるアンモニアをラジカル化し、配管141に設けられた約1mm径の孔143からシリコンウェハ側に供給する。
また、別の方法としては、触媒と紫外光とを用いてアンモニアラジカルを発生させることもできる。この方法を用いる場合、アンモニア供給配管に、金属触媒として白金族、第4族元素の酸化物、二酸化チタン等により構成された金属板を設置する。また、該金属板に紫外光を照射できるように、アンモニア供給配管の少なくとも一部について、光を透過できるようにガラス等によって構成する。このような構成において、アンモニア供給配管にアンモニアガスを供給しながら配管内又は配管外から金属板に紫外光を照射することにより、金属触媒反応によってアンモニアをラジカル化することができる。
次に、図5(a)に、アンモニアラジカルがチタン酸化膜に吸着したときの反応過程を示している。尚、元素記号の書かれていない小円は水素を表している。は本実施形態におけるチタン酸化膜は、メタルゲート電極側壁に形成された膜厚1nm程度の薄い自然酸化膜又はアッシング酸化膜であり、チタンと酸素との結合力はそれほど強くない。特に、CVD法等により意図的に形成した結晶性のチタン酸化膜に比べて結合力は弱い。
炉内を例えば550℃に保った状態にてアンモニアをラジカル化すると、不対電子を持ったアンモニアラジカル(NH2 ・又はNH3 ・)が生成され、Ti−O表面に吸着される。このとき、自然酸化等により形成された結合力の弱いTi−Oについては、酸素がアンモニアラジカルの水素と反応して水として脱離する。水素に酸素を奪われたアンモニアの窒素は、チタンの結合手と結合し、チタン酸化膜を形成する。
脱離した水は、再吸着・再酸化する可能性があるため、排気することが好ましい。そこで、不活性ガスによる排気を行なっている。
ここで、メタルゲート電極と同様に、その下に形成されているHigh-kゲート絶縁膜の側壁に対居ても、アンモニアラジカルによって曝露される。この際にアンモニアラジカルとHigh-kゲート絶縁膜とが反応するのを避けるため、High-kゲート絶縁膜がアンモニアラジカルよりも高いエネルギーを持った状態にしておくことが好ましい。つまり、High-kゲート絶縁膜を形成した後、アンモニアラジカルによる処理及びシリコン酸化膜の形成を行なう前に、プラズマ窒化及び700℃〜1100℃(例えば1000℃)程度の熱処理を行なっておくことが好ましい。
尚、メタルゲート電極側壁の酸化層について、プラズマ窒化によって還元・再窒化することについても発明者らは検討を行なった。しかしながら、以下のように、アンモニアラジカルを用いた処理がより望ましいことを見出している。
プラズマ窒化の場合、図5(b)に示すように、窒素をイオン状態(N- 、N2-、N3-)にすると共に電界を掛けてウェハに物理的に衝突させた後、熱処理等によって窒素と対象物を結合させる。この結果、High-kゲート絶縁膜にダメージを与えるおそれがある。また、シリコン基板が窒化され、後の工程にて洗浄等によりソース・ドレイン領域のSiが削れてしまうこともある。このようなことから、プラズマ窒化を用いるとトランジスタ特性の劣化に繋がるため、アンモニアラジカルによる処理を行なう方が好ましい。
次に、図6は、前記アンモニアラジカルによる処理を行なった場合の膜中にける酸素濃度について、EPMA(Electron Probe Micro Analysis )を用いて測定した結果を示す。例として、シリコンウェハ上にALD−TiN膜(ALD法によるTiN膜)及びHfSiON膜を形成した後、アンモニアラジカルを4、40又は100サイクル曝露し、更にシリコン窒化膜を2nm形成した場合について示している。
図6に示す通り、HfSiON膜中の酸素濃度(白抜きの三角△にて表示)は処理のサイクルを繰り返しても有意には変化しない。これに対し、TiN膜における酸素濃度(白抜きの四角◇にて表示)は、アンモニアラジカル処理のサイクル数を増やすに従って減少している。具体的に、アンモニアラジカル処理を行なわない場合には酸素濃度が1×1016atoms/cm2 程度であるのに対し、アンモニアラジカル処理を100サイクル行なった場合、4.5×1015atoms/cm2 程度まで低減(半減)することが可能である。
このように、HfSiON中の酸素量を低下させることなく、TiN上の酸素量のみ低減させることができる。つまり、ゲート絶縁膜を還元することなく、メタルゲート電極のみを選択的に還元することができる。
尚、少ないサイクル数で酸素量を減少させるためには、アンモニアの流量を増やすこと又は高周波のパワーを増大することによりチタン酸化膜との反応を促進させることが考えられる。更に、生成する水を効率良く排気するために、不活性ガスの流量を増やすこと又は排気時間を長くすることも有効と考えられる。
次に、本実施形態の実施例と比較例とについて、ゲート長とゲートリーク電流との関係を図7(a)に示す。実施例は、メタルゲート電極に対してアンモニアラジカル処理を40サイクル行なった後に、既に説明したのと同様ようにin-situ にてシリコン窒化膜を形成した場合である。これに対し、比較例は、メタルゲート電極に対してシリコン窒化膜の形成だけを行なった場合である。
比較例では、ゲート長が1μm以下になるとリーク電流がオームの法則から外れる。これに対し、実施例の場合、ゲート長が30nm程度になるまでは線形性を保っており、メタルゲート電極側壁におけるチタン酸化膜の影響を抑制していることが分かる。
また、図7(b)は、前記実施例及び比較例の半導体装置について駆動電流を示す図であり、横軸にトランジスタのオン電流、縦軸にオフ電流を取っている。図7(b)に示す通り、比較例に比べて実施例のオン電流が向上している。例えば、オフ電流が10nA/μm(10000pA/μm)のとき、実施例のオン電流は比較例に比べて11%程度向上している。
尚、図3に示すSIMS分析を行なうためには、2次イオン強度を向上させるために、最小でも1×1mm程度のスポットが必要になる。しかしながら、ゲート長50nm以下、メタルゲート電極の厚さが5〜20nm程度であるため、トランジスタのメタルゲート電極側壁の評価にSIMS分析を用いるのは難しい。
これに対し、近年、3次元アトムプローブ解析法を用いて容易にトランジスタ構造中の偏析元素を観察することが可能になった。これは、FIB(Focus Ion Beam)等により針状に加工した資料の先端部の原子をレーザーによってイオン化し、TOF(Time of Flight)型の検出器にて検出することにより、原子の3次元の分布を可視化する解析手法である。
3次元アトムプローブ解析法によると、原子レベルの3次元マッピングが可能であり、深さ分解能、空間分解能は共に原理的には数Å(数十nm)程度ある。このため、メタルゲート電極の側壁のような、微小な部分の解析も可能である。
また、メタルゲート電極側壁における酸素については、EELS(Electron Energy Loss Spectroscopy)を利用してTEMによって観察することも可能である。この方法によると、酸素を含む部分が白く映る。前記比較例の場合、メタルゲート電極を構成するTiNが酸化されていること、ポリシリコン電極の側壁も酸化されてシリコン酸化膜が形成されていることが分かる。これに対し、本実施形態の前記実施例の場合、メタルゲート電極側壁及びポリシリコン電極側壁の酸素が除去できていることを観測できる。
以上のように、本願発明者らは、メタルゲート電極側壁の酸化膜について物性を詳細に調べ、アンモニアラジカルによる選択還元・再窒化を発案且つ実現した。その結果、トランジスタの駆動能力を向上(GEMによるトランジスタの駆動能力低下を抑制)させた。
次に、図1に示す半導体装置150の製造方法について、その各工程を模式的に示す断面図である図8(a)〜(f)を参照して説明する。
まず、図8(a)に示すように、シリコン基板101上に、STI状のシリコン酸化膜からなる素子分離層104によって絶縁分離されたn型ウェル領域102及びp型ウェル領域103を形成する。更に、n型ウェル領域102及びp型ウェル領域103上にわたって、ゲート絶縁膜109と、メタルゲート電極110に加工するための金属含有膜110aを順次積層する。
ここで、ゲート絶縁膜109は、例えば、水蒸気雰囲気、一酸化窒素雰囲気等によりシリコン基板101を酸化した厚さ1.0nm程度のシリコン酸化膜上に、High-k材料からなる膜が積層されたHigh-kゲート絶縁膜として形成する。High-k材料としては、例えば、Hf、Zr等の4族元素を主成分とした酸化物であってもよい。また、シリケートと称されるHf、Zr等とSiとの酸化物であってもよい。また、アルミネートと称されるHf、Zr等とAlの酸化物であっても良い。更には、以上の材料に、プラズマ窒化、アンモニア窒化等により窒素添加した酸窒化物であっても良い。
High-kゲート絶縁膜の形成には、MOCVD(Metal Organic Chemical Vapor Deposition)法、ALD(Atomic Layer Deposition)法、PVD(Physical Vapor Deposition)法等を用いることができる。また、窒化処理を加えた場合には、1000℃以上の熱処理を加え、後工程での熱処理による窒素の外方拡散を防ぐのが良い。
尚、nチャネル及びpチャネルのHigh-kゲート絶縁膜中には、閾値電圧を制御するために、それぞれ異なったHigh-k材料を混ぜることが好ましい。例えば、nチャネル側にLaO、MgO等を、pチャネル側にAlO、TaO等を混ぜることが好ましい。
金属含有膜110aは、例えば、Ti、W、Ta、Ru、Al等の金属元素を主成分とした合金、前記合金の窒化物又はSiを更に含む前記合金の窒化物を材料として、MOCVD法、ALD法、PVD法等により形成する。
次に、図8(b)の工程を行なう。まず、金属含有膜110aの表面について、過酸化水素水により洗浄する。これは、金属含有膜110a上に形成された自然酸化膜と、ゲート絶縁膜109上にメタルゲート電極110を配置しない構造の領域を得るために行なわれたレジスト塗布・除去により変質した金属層とを除去するためである。その後、金属含有膜110a上に、ポリシリコン電極111に加工するためのポリシリコン膜111aを膜厚100nmに形成する。金属含有膜110aとポリシリコン膜111aとの界面に酸化層が存在すると界面抵抗が上昇するため、前記の過酸化水素水による洗浄を行なった方が良い。
ポリシリコン膜111aを得るためには、シラン(SiH4 )、ジシラン(Si26)を用いて500℃以上で且つ550℃以下の温度範囲にてアモルファスシリコン膜を形成した後、熱処理を加えてポリシリコン化する方法がある。また、600℃以上で且つ630℃以下の温度範囲にてポリシリコンを形成する方法もある。また、ポリシリコンに代えて、シリコンゲルマニウムからなる電極を形成しても良い。このためには、例えば、シランに加えてゲルマン(GeH4 )を材料に用いる。
次に、図8(c)に示す工程を行なう。まず、フォトリソグラフィ技術及びエッチング技術を用い、ゲート電極レジストパターン(図示省略)を形成する。続いて、ハロゲン系のエッチングガスを用い、ポリシリコン膜111a及び金属含有膜110aについて異方性エッチングを行ない、ゲート電極を形成する。つまり、n型ウェル領域102上にはメタルゲート電極110及びその上のポリシリコン電極111を形成すると共に、p型ウェル領域103上にはメタルゲート電極116及びその上のポリシリコン電極117を形成する。この際、シリコン基板101まで過度にエッチングしてしまわないように、High-kゲート絶縁膜であるゲート絶縁膜109と、シリコン基板101とについてエッチング選択比を確保し、エッチングがゲート絶縁膜109において止まるようにする。尚、ゲート絶縁膜109については、窒化した後に1000℃以上の熱処理が行なわれていると、エッチング選択比を確保しやすくなる。
次に、酸素プラズマによるアッシングを行なってレジストを除去した後、フッ素系洗浄剤によりメタルゲート電極110のエッチングの際に残ったポリマーと、メタルゲート電極110の下以外の不要な部分に残っているゲート絶縁膜109とを除去する。この際、メタルゲート電極110の側壁の酸化層についても多少エッチングされるので、過度にエッチングしてメタルゲート電極110の側壁がくびれないように注意する。
続いて、図8(d)に示すように、オフセットスペーサ100に加工するためのシリコン窒化膜100aを形成する。シリコン窒化膜100aは必ず大気曝露されるため、メタルゲート電極110の側壁には必ず自然酸化膜が形成されることになる。該自然酸化膜がGEMを引き起こし、駆動力を低下させる原因となる。
そこで、シリコン窒化膜100aを形成する前に、メタルゲート電極110表面の自然酸化膜に対してアンモニアラジカルによる還元と再窒化を行なう。具体的には、成膜に用いる炉にウェハを挿入し、炉内を真空状態に引いた後、アンモニアラジカルの曝露と不活性ガスの曝露とを交互に繰り返す。これにより、メタルゲート電極110の表面に付着しているアッシング酸化膜の残膜及び自然酸化膜を除去した後、大気曝露によって再酸化されるのを防ぐために同一の炉内においてin-situ にてシリコン窒化膜100aを膜厚5〜10nm程度に形成する。この工程について、より詳しくは、図4(a)及び(b)等を参照して既に説明した通りである。
次に、図8(e)の工程を行なう。まず、ハロゲン系のガスを用いてシリコン窒化膜100aを異方性ドライエッチングすることにより、ゲート電極側壁にオフセットスペーサ100として残すと共に他の箇所については除去する。
続いて、n型ウェル領域102をレジスト(図示省略)にて保護し、p型ウェル領域103にn型不純物であるリン、砒素、アンチモン等をイオン注入する。この後、n型ウェル領域102上のレジストを除去する。続いて、p型ウェル領域103をレジスト(図示省略)にて保護し、n型ウェル領域102にp型不純物であるボロン、インジウム等をイオン注入する。その後、p型ウェル領域103上のレジストの除去と、例えば1000℃以上の熱処理によるイオン種の活性化を行なう。これにより、p型エクステンション層108及びn型エクステンション層114が形成される。
次に、図8(f)の工程を行なう。ここでは、シリコン酸化膜を膜厚5nm〜10nmに形成した後、連続してシリコン窒化膜を膜厚10nm〜30nmに積層形成し、異方性ドライエッチングを行なう。これにより、オフセットスペーサ100を介してゲート電極(メタルゲート電極110及びポリシリコン電極111と、メタルゲート電極116及びポリシリコン電極117)の側壁に、サイドウォール112及び118を形成する。尚、ここではシリコン窒化膜とシリコン窒化膜との2層からなるサイドウォールとしたが、この構造は必須ではなく、一層のシリコン窒化膜、一層のシリコン酸化膜等からなっていてもよい。
続いて、n型ウェル領域102をレジスト(図示省略)にて保護し、p型ウェル領域103にn型不純物であるリン、砒素、アンチモン等をイオン注入してn型拡散層113を形成する。この後、n型ウェル領域102上のレジストを除去する。続いて、p型ウェル領域103をレジスト(図示省略)にて保護し、n型ウェル領域102にp型不純物であるボロン、インジウム等をイオン注入してp型拡散層107を形成する。その後、例えば900℃〜1050℃の熱処理を行ない、n型拡散層113及びp型拡散層107のイオン種の活性化してソース・ドレイン領域を形成する。
この後、ソース・ドレイン領域上部とポリシリコン電極111及び117上部とについて、Ni、Ptによるシリサイド化を行なう。また、コンタクトホールエッチングストッパーとなるシリコン窒化膜(図示せず)と、層間絶縁膜(図示せず)となるシリコン酸化膜とを形成し、平坦化処理等の通常の工程を経て、半導体装置150が形成される。
本発明の半導体装置及びその製造方法によると、メタルゲート電極側壁における酸素濃度を抑制することにより半導体装置の駆動能力を向上させることができ、半導体集積回路を用いる種々の電子機器にも有用である。
100 オフセットスペーサ
100a シリコン窒化膜
101 シリコン基板
102 n型ウェル領域
103 p型ウェル領域
104 素子分離層
105 pチャネルトランジスタ
106 nチャネルトランジスタ
107 p型拡散層
108 p型エクステンション層
109 ゲート絶縁膜
110 メタルゲート電極
110a 金属含有膜
111 ポリシリコン電極
111a ポリシリコン膜
112 サイドウォール
113 n型拡散層
114 n型エクステンション層
115 ゲート絶縁膜
116 メタルゲート電極
117 ポリシリコン電極
118 サイドウォール
141 配管
142 平板電極
143 孔
150 半導体装置

Claims (15)

  1. 基板上に金属含有膜を形成する工程(a)と、
    反応室内において前記金属含有膜にアンモニアラジカルを曝露する工程(b)と、
    前記反応室内に不活性ガスを供給し、前記工程(b)において生じたガスを排気する工程(c)と、
    前記工程(b)及び前記工程(c)を所定の回数繰り返した後、大気曝露することなく、前記反応室内において前記金属含有膜を覆うシリコン窒化膜を形成する工程(d)とを備えることを特徴とする半導体装置の製造方法。
  2. 請求項1において、
    前記工程(b)及び前記工程(c)は、前記金属含有膜の表面に形成された自然酸化膜が還元されるまで繰り返すことを特徴とする半導体装置の製造方法。
  3. 請求項1又は2において、
    前記工程(b)は、400℃以上で且つ800℃以下の温度範囲において行なうことを特徴とする半導体装置の製造方法。
  4. 請求項1〜3のいずれか一つにおいて、
    前記アンモニアラジカルは、高周波電圧が印加された一対の電極板の間にアンモニアを供給することにより発生させることを特徴とする半導体装置の製造方法。
  5. 請求項1〜3のいずれか一つにおいて、
    前記アンモニアラジカルは、金属触媒にアンモニアを供給し且つ紫外線を照射することにより発生させることを特徴とする半導体装置の製造方法。
  6. 請求項5において、
    前記金属触媒は、白金族元素、Ti、Zr又はMnを含むことを特徴とする半導体装置の製造方法。
  7. 請求項1〜5のいずれか一つにおいて、
    前記金属含有膜は、前記基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、
    前記高誘電体ゲート絶縁膜は、4族元素の酸化物と、4族元素及びSiの酸化物と、4族元素及びAlの酸化物とのうちの少なくとも一つを含むことを特徴とする半導体装置の製造方法。
  8. 請求項7において、
    前記4族元素は、Hf及びZrの少なくとも一つであることを特徴とする半導体装置の製造方法。
  9. 請求項1〜8のいずれか一つにおいて、
    前記金属含有膜は、前記基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、
    前記メタルゲート電極は、金属元素を主成分とした合金、金属元素を主成分とした合金の窒化物、又は、金属元素を主成分としたSiを含む合金の窒化物により構成されることを特徴とする半導体装置の製造方法。
  10. 請求項9において、
    前記金属元素は、Ti、W、Ta、Ru及びAlの少なくとも一つであることを特徴とする半導体装置の製造方法。
  11. 請求項1〜10のいずれか一つにおいて、
    前記金属含有膜は、前記基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、
    前記高誘電体ゲート絶縁膜及び前記メタルゲート電極を含むpチャネルトランジスタが構成され、
    前記高誘電体ゲート絶縁膜は、AlO及びTaOの少なくとも一つを含むことを特徴とする半導体装置の製造方法。
  12. 請求項1〜10のいずれか一つにおいて、
    前記金属含有膜は、前記基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、
    前記高誘電体ゲート絶縁膜及び前記メタルゲート電極を含むnチャネルトランジスタが構成され、
    前記高誘電体ゲート絶縁膜は、LaO及びMgOの少なくとも一つを含むことを特徴とする半導体装置の製造方法。
  13. 請求項1〜12のいずれか一つにおいて、
    金属含有膜は、前記基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、
    前記工程(a)において、前記メタルゲート電極を形成する前に、高誘電体ゲート絶縁膜に対して700℃以上で且つ1100℃以下の熱処理を行なう工程を更に備えることを特徴とする半導体装置の製造方法。
  14. 請求項1〜13のいずれか一つにおいて、
    前記金属含有膜は、前記基板上に高誘電体ゲート絶縁膜を介して形成されるメタルゲート電極であり、
    前記シリコン窒化膜は、ALD法により形成され、オフセットスペーサとなることを特徴とする半導体装置の製造方法。
  15. 基板上に、高誘電体ゲート絶縁膜を介してメタルゲート電極が形成されたトランジスタ構造を備え、
    前記メタルゲート電極側壁にシリコン窒化膜からなるオフセットスペーサが形成され、
    前記メタルゲート電極と、前記オフセットスペーサとの間に偏析している酸素の濃度が1×1020atoms/cm3 以下であることを特徴とする半導体装置。
JP2009204581A 2009-09-04 2009-09-04 半導体装置及びその製造方法 Pending JP2011054878A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009204581A JP2011054878A (ja) 2009-09-04 2009-09-04 半導体装置及びその製造方法
PCT/JP2010/001183 WO2011027481A1 (ja) 2009-09-04 2010-02-23 半導体装置及びその製造方法
US13/397,890 US20120146113A1 (en) 2009-09-04 2012-02-16 Semiconductor device and method for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009204581A JP2011054878A (ja) 2009-09-04 2009-09-04 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JP2011054878A true JP2011054878A (ja) 2011-03-17

Family

ID=43649042

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009204581A Pending JP2011054878A (ja) 2009-09-04 2009-09-04 半導体装置及びその製造方法

Country Status (3)

Country Link
US (1) US20120146113A1 (ja)
JP (1) JP2011054878A (ja)
WO (1) WO2011027481A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012248652A (ja) * 2011-05-27 2012-12-13 Renesas Electronics Corp 半導体装置およびその製造方法
JP2014104386A (ja) * 2012-11-26 2014-06-09 Ushio Inc ガス活性化装置および窒素酸化物処理装置
JP2016129243A (ja) * 2016-02-25 2016-07-14 東京エレクトロン株式会社 成膜方法
JP2019029448A (ja) * 2017-07-27 2019-02-21 キヤノン株式会社 撮像装置、カメラおよび撮像装置の製造方法

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5960491B2 (ja) * 2012-04-27 2016-08-02 キヤノンアネルバ株式会社 半導体装置およびその製造方法
US8866203B2 (en) * 2012-07-05 2014-10-21 Huey-Jean Lin Method for forming compound epitaxial layer by chemical bonding and epitaxy product made by the same method
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9865731B2 (en) * 2013-11-15 2018-01-09 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319582B2 (en) * 2017-04-27 2019-06-11 Lam Research Corporation Methods and apparatus for depositing silicon oxide on metal layers
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200181770A1 (en) * 2018-12-05 2020-06-11 Asm Ip Holding B.V. Method of forming a structure including silicon nitride on titanium nitride and structure formed using the method
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11728385B2 (en) 2021-05-26 2023-08-15 Atomera Incorporated Semiconductor device including superlattice with O18 enriched monolayers
US11682712B2 (en) 2021-05-26 2023-06-20 Atomera Incorporated Method for making semiconductor device including superlattice with O18 enriched monolayers
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117613003B (zh) * 2024-01-23 2024-04-16 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5390159A (en) * 1977-01-21 1978-08-08 Kureha Chem Ind Co Ltd Denitrating method
JPH07201749A (ja) * 1993-12-28 1995-08-04 Applied Materials Inc 薄膜形成方法
JPH10223990A (ja) * 1997-02-12 1998-08-21 Hitachi Ltd 半導体光素子の製造方法およびそれを用いた半導体光素子ならびにそれを用いた光応用システム
JPH10223900A (ja) * 1996-12-03 1998-08-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP2006241521A (ja) * 2005-03-03 2006-09-14 Ulvac Japan Ltd タンタル窒化物膜の形成方法
JP2008258210A (ja) * 2007-03-30 2008-10-23 Tokyo Electron Ltd プラズマ処理方法及び記憶媒体
JP2008294260A (ja) * 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP2008306051A (ja) * 2007-06-08 2008-12-18 Rohm Co Ltd 半導体装置およびその製造方法
JP2009088421A (ja) * 2007-10-03 2009-04-23 Renesas Technology Corp 半導体装置の製造方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5390159A (en) * 1977-01-21 1978-08-08 Kureha Chem Ind Co Ltd Denitrating method
JPH07201749A (ja) * 1993-12-28 1995-08-04 Applied Materials Inc 薄膜形成方法
JPH10223900A (ja) * 1996-12-03 1998-08-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
JPH10223990A (ja) * 1997-02-12 1998-08-21 Hitachi Ltd 半導体光素子の製造方法およびそれを用いた半導体光素子ならびにそれを用いた光応用システム
JP2006241521A (ja) * 2005-03-03 2006-09-14 Ulvac Japan Ltd タンタル窒化物膜の形成方法
JP2008258210A (ja) * 2007-03-30 2008-10-23 Tokyo Electron Ltd プラズマ処理方法及び記憶媒体
JP2008294260A (ja) * 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP2008306051A (ja) * 2007-06-08 2008-12-18 Rohm Co Ltd 半導体装置およびその製造方法
JP2009088421A (ja) * 2007-10-03 2009-04-23 Renesas Technology Corp 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012248652A (ja) * 2011-05-27 2012-12-13 Renesas Electronics Corp 半導体装置およびその製造方法
JP2014104386A (ja) * 2012-11-26 2014-06-09 Ushio Inc ガス活性化装置および窒素酸化物処理装置
JP2016129243A (ja) * 2016-02-25 2016-07-14 東京エレクトロン株式会社 成膜方法
JP2019029448A (ja) * 2017-07-27 2019-02-21 キヤノン株式会社 撮像装置、カメラおよび撮像装置の製造方法

Also Published As

Publication number Publication date
US20120146113A1 (en) 2012-06-14
WO2011027481A1 (ja) 2011-03-10

Similar Documents

Publication Publication Date Title
WO2011027481A1 (ja) 半導体装置及びその製造方法
JP5285519B2 (ja) 半導体装置及びその製造方法
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US9673326B2 (en) Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process
US7138323B2 (en) Planarizing a semiconductor structure to form replacement metal gates
KR101027107B1 (ko) 완전 변환된 반도체 금속 합금에 의한 금속 게이트mosfet
US7160767B2 (en) Method for making a semiconductor device that includes a metal gate electrode
US7144783B2 (en) Reducing gate dielectric material to form a metal gate electrode extension
JP2011014689A5 (ja)
US20100127336A1 (en) Structure and method for metal gate stack oxygen concentration control using an oxygen diffusion barrier layer and a sacrificial oxygen gettering layer
JP4647682B2 (ja) 半導体装置及びその製造方法
KR20070086471A (ko) 하이 k 게이트 유전체 및 금속 게이트 전극을 갖는 반도체장치를 제조하는 방법
JP5569173B2 (ja) 半導体装置の製造方法及び半導体装置
US7148099B2 (en) Reducing the dielectric constant of a portion of a gate dielectric
TW201417182A (zh) 包含溼蝕刻製程以移除氮化矽之半導體結構形成方法
JP2009033032A (ja) 半導体装置及び半導体装置の製造方法
KR100596487B1 (ko) 반도체 장치 및 그 제조 방법
JP5056418B2 (ja) 半導体装置およびその製造方法
US7425490B2 (en) Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics
JP2008192661A (ja) 半導体装置の製造方法
JP2007305892A (ja) 金属膜のエッチング方法及び半導体装置の製造方法
US20050287746A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
Le Tiec Chemistry in Microelectronics
WO2008072573A1 (ja) 半導体装置の製造方法および半導体装置
JP4145272B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20120214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130402