KR20060004976A - 화학적 비활성화를 통한 반응기 표면의 패시베이션 - Google Patents

화학적 비활성화를 통한 반응기 표면의 패시베이션 Download PDF

Info

Publication number
KR20060004976A
KR20060004976A KR1020057020924A KR20057020924A KR20060004976A KR 20060004976 A KR20060004976 A KR 20060004976A KR 1020057020924 A KR1020057020924 A KR 1020057020924A KR 20057020924 A KR20057020924 A KR 20057020924A KR 20060004976 A KR20060004976 A KR 20060004976A
Authority
KR
South Korea
Prior art keywords
reaction
reaction space
reactant
deposition
treatment
Prior art date
Application number
KR1020057020924A
Other languages
English (en)
Other versions
KR101090895B1 (ko
Inventor
모히쓰 베르그헤세
에릭 제이 쉐로
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20060004976A publication Critical patent/KR20060004976A/ko
Application granted granted Critical
Publication of KR101090895B1 publication Critical patent/KR101090895B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/18Processes for applying liquids or other fluent materials performed by dipping
    • B05D1/185Processes for applying liquids or other fluent materials performed by dipping applying monomolecular layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/05Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions
    • C23C22/68Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions using aqueous solutions with pH between 6 and 8
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2203/00Other substrates
    • B05D2203/30Other inorganic substrates, e.g. ceramics, silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2518/00Other type of polymers
    • B05D2518/10Silicon-containing polymers
    • B05D2518/12Ceramic precursors (polysiloxanes, polysilazanes)
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0433Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a reactive gas
    • B05D3/044Pretreatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0466Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a non-reacting gas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/10Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
    • B05D3/104Pretreatment of other substrates

Abstract

보호층(208)이 원자층 증착(ALD)이나 화학 기상 증착(CVD) 반응기(100)의 표면(201) 상에 형성된다. ALD 또는 CVD 반응기(100)에 대한 반응공간(200)을 규정하는 부품은 반응공간 표면(들)(201) 상의 반응영역(210)을 비활성시키는 약품(206)에 의해, 인 시튜나 엑스 시튜로 처리될 수 있다. 전처리 단계(502)는 처리단계(504)에 앞서서 이용가능한 반응영역(210)을 최대화할 수 있다. 흡착된 처리 반응물(208)에 의해 반응영역(210)이 비활성화됨에 따라서, 후속 공정의 진행 동안에 반응가스(214)는 이들 처리된 표면 상의 반응도 및 증착물을 감소시킨다.
따라서, 퍼지단계(310, 314)를 크게 단축시킬 수 있으며, 반응기 벽 상에 퇴적된 증착물을 제거하기 위한 세척 단계들 사이에서 보다 많은 수의 운전을 실행할 수 있다.

Description

화학적 비활성화를 통한 반응기 표면의 패시베이션{REACTOR SURFACE PASSIVATION THROUGH CHEMICAL DEACTIVATION}
본 발명은 화학 기상 증착(CVD) 및 원자층 증착(ALD) 반응기 내에서 반응기 표면 상의 증착물 억제에 관한 것이다. 보다 상세하게는, 본 발명은 반응기를 통해 흐르는 동반가스에 의한 코팅을 제한하기 위한 반응기 표면의 비활성화에 관한 것이다.
반도체 산업에 있어서, 원자층 증착(ALD)은 실리콘 웨이퍼와 같은 기판 상에 물질의 박막을 형성하기 위한 것으로 알려져 있다. ALD는 기상증착의 한가지 형태로, 여러 사이클로 실행된 자기 귀환 반응을 통해서 막이 퇴적된다. 막의 두께는 실행한 사이클의 수에 의해서 결정된다. ALD 공정에 있어서, 웨이퍼 상에 물질의 박막을 형성하기 위해, 기상 전구체가 번갈아 반복해서 기판 또는 웨이퍼에 공급된다. 하나의 반응물은 자기 제한 공정에서 웨이퍼 상에 흡착된다. 후속 반응 펄스는 흡착된 물질과 반응하여 원하는 물질의 단일 분자층을 형성한다. 리간드 교환이나 게터링 반응과 같은 반응을 통해서 적절히 선택한 반응물과의 분해가 일어날 수 있다. 통상적인 ALD 반응에 있어서, 사이클마다 기껏해야 단분자층이 형성된다. 목표 두께가 얻어질 때까지 반복되는 성장 사이클을 통해서 보다 두꺼운 막이 만들어진다.
ALD 공정에 있어서, 코팅될 적어도 하나의 표면을 갖는 적어도 하나의 기판 및 원하는 제품을 형성하기 위한 반응물은 반응기나 증착 챔버 내로 도입된다. 하나 이상의 기판은 웨이퍼 서포트나 서스셉터(susceptor) 상에 주로 놓인다. 웨이퍼 서포트는 반응기 내에 규정된 챔버의 내부에 배치된다. 웨이퍼는 반응가스의 응축온도 이상 및 특히 반응가스의 열분해 온도 이하의 원하는 온도까지 가열된다. ALD 공정(가령, "순환식" 또는 "디지털" CVD) 상의 일부 편차는 웨이퍼 표면 상에서의 전구체의 분해에 의존하므로, 종종 웨이퍼는 분해온도 이상까지 가열될 수 있다. 그러한 변화에 있어서, 구역 온도제어를 이용(서스펙터 이외에 반응기 쿨러의 다른 부품은 유지)하거나 반응기 내에서 가스의 반응시간을 감소시킴으로써 반응기의 다른 부품 상에서의 분해를 최소화할 수 있다.
ALD의 특성은 포화된 표면조건에 도달할 때까지 각 반응물이 기판에 펄스로 전달되는 것이다. 상술한 바와 같이, 하나의 반응물은 특히 기판 표면에 흡착되고 이어서 제 2반응물은 후속 펄스 동안에 흡착된 종과 반응한다. 자기 제한 성장을 얻기 위해서, 기상 반응물은 퍼지에 의해서 또는 순차적인 반응 펄스 간의 다른 제거 단계에 의해서 분리된 상태로 유지된다. 원하는 물질의 성장이 퍼지단계 동안에 일어나지 않기 때문에, 퍼지단계의 지속을 제한하는 것이 이익적일 수 있다. 더 짧은 지속 퍼지단계는 반응기 내에서 반응물의 흡착 및 반응을 위한 이용가능한 시간을 증가시킬 수 있으나, 기상 반응물은 증착의 자기 제한 특징을 없애는 CVD 반응 의 위험을 회피하기 위한 혼합이 허용되지 않는다. 성장률이 자기 제한적이므로, 성장 속도는 CVD 내에서와 같이, 반응물의 온도나 유동보다는, 반응 순서의 반복속도에 비례한다.
변함없이, 공정의 진행 동안에 기판표면 이외에 ALD 반응기 표면 상에서 증착이 일어난다. 시간의 경과에 따라, 반응기 표면 상에는 막 퇴적이 일어날 수 있다. 막 퇴적은 반응기 표면을 얇은 층으로 갈라놓을 수 있고 기판 표면을 오염시킬 수 있다. 반응기 표면 상에 느슨하게 부착된 다량의 막 퇴적은 반응 펄스에 노출된 전체 표면적을 증가시킨다. 그러므로, 이것 역시 웨이퍼 표면을 포화시키기 위해 필요한 펄스 및 퍼지 시간을 증가시킬 수 있다.
비록 그같은 코팅은 반응가스에 노출된 반응기 부품을 CVD 온도보다 낮게 유지함으로써 최소화할 수 있으나, 마찬가지로 고온 CVD 반응 부품은 증착 퇴적문제에 직면한다. 한편, 더 차가운 CVD 반응 부품은 ALD 반응기 내에서와 같이, 반응 응축 또는 흡착/탈착(脫着)을 받게되어, 오염 문제를 다시 야기한다.
반응기의 빈번한 세척은 오염 가능성을 제한할 것이다. 그러나, 반응기는 이들 세척 동안에 가동이 중지되므로, ALD 또는 CVD 공정의 효율성을 감소시킨다.
본 발명의 시스템 및 방법은 다수의 특징을 가지며, 이들 중 어느 하나도 그의 바람직한 속성을 단독으로 가져올 수 없다. 다음의 특허청구의 범위에 표현된 바와 같이 본 발명의 범위에 제한 없이, 본 발명의 보다 현저한 특징을 간단히 기술할 것이다. 이 논의를 고려한 후에, 특히 "바람직한 실시예의 상세한 설명"이란 제목 부분을 읽은 후에, 본 발명의 특징이 어떻게 기상 증착 방법 및 시스템에 대한 다수의 이익을 제공하는지를 이해할 것이다.
본 발명의 일 측면에 따르면, 기상증착 반응공간의 표면을 비활성화하는 방법이 제공된다. 표면은 그내에서 실행되는 기상증착 공정이 처리된 표면보다는 기판에 대해 선택적으로 행해지도록 처리된다. 일 실시예에 있어서, 처리는 반응공간을 규정하는 부품의 조립 이전의 엑스 시튜(ex situ) 처리를 포함한다. 다른 실시예에 있어서, 처리 및 주기적 재처리는 반응공간 표면을 비활성화하기 위해서 반응공간을 통해 처리 가스를 흘려보내서 인 시튜(in situ)로 실행할 수 있다.
본 발명의 다른 측면에 따라서, 기상 증착 반응기는 표면 상에서의 증착반응을 억제하는 단층에 의해서 비활성화되는 반응공간을 규정하는 적어도 일부의 표면을 구비한다. 원자층 증착(ALD) 반응기의 예에 있어서, 단층은 증착 동안에 실행 조건 하에서는 반응물과 반응하지 않는다.
본 발명의 다른 측면에 따라서, 기상 증착 반응기의 반응공간 표면을 처리 및 작동하는 방법은 처리약품으로 반응공간 표면을 처리하는 것을 포함한다. 이 처리는 다수의 증착 반응으로 반응에 대해 반응공간 표면을 비활성화한다. 기판은 이 처리 후에 반응공간 내로 장입(裝入)된다. 다수의 반응물에 기판을 노출시킴으로써 기판 상에는 층이 증착된다.
본 발명의 다른 측면에 따라서, 기상 증착장치는 반응공간을 규정하는 다수의 반응공간 표면을 구비한다. 기판 지지 구조체는 반응공간 내에 수용된다. 다수의 공급라인을 기상 증착 공정을 위해 적합한 기상 반응물의 소스에 연결한다. 적어도 일부의 반응공간 표면은 기상 증착 공정에 대해 그러한 표면을 비활성화하는 표면 개량을 포함한다.
본 발명의 다른 측면에 따라서, 증착 시스템은 기판 상에 막을 증착하기 위한 증착챔버를 갖는 반응기를 구비한다. 증착챔버의 표면은 -OH, 질소, 수소, 할라이드 표면기로 이루어지는 그룹으로부터 선택된 반응 영역으로 코팅된다. 기판은 반응기 내에 배치되고 기판을 지지하도록 구성된다. 처리 가스 소스는 처리 가스를 함유한다. 처리 가스 소스와 유체 연통되는 주입라인은 처리 가스를 증착챔버의 표면에 공급하도록 구성된다. 처리가스는 증착챔버의 표면 상에 보호층을 형성하기 위해 반응영역을 결속하도록 선택되며, 보호층은 증착챔버의 표면에 막이 증착될 가능성을 줄인다.
도시한 실시예에 있어서, 반응공간 표면 상에서의 처리 반응물의 흡착에 의해 비활성화가 제공된다. 처리공정은 이용가능한 반응영역의 결속을 막아 후속 공정에 있어서 그같은 반응영역이 증착 반응물로 점유되거나 반응물에 노출되는 것을 방지한다. 예를 들면, -OH 분자는 종종 금속 반응공간 표면 및 산화 패시베이션 금속면(가령, 산화 알루미늄으로 코팅된 티타늄)의 표면 상에 종종 존재한다. 그러한 -OH 종단부는 전형적인 ALD 전구체와 쉽게 반응한다. 마찬가지로, 다른 형태의 반응영역도 존재할 수 있다. 처리가스는 처리반응물의 흡착을 야기시켜, -OH기를 묶고 증착을 위해 반응기에 접속된 특정 ALD 반응물과 반응하지 않는 종단부를 남긴다. 특히, (흡착되어 크게 손상되지 않은)처리 반응물과 반응공간 표면 간의 결속강도는 증착 반응물과의 증착이나 화학적 반응을 충분히 피할 수 있을 만큼 강하다.
비활성화 공정의 주요 이점은 반응챔버 표면 상의 증착물 퇴적의 상당한 감소로 인해 반응기 세척을 위한 감소된 중단시간 및 감소된 미립자 생성에 있다. 또한, 증착 단계 간의 반응공간으로부터 반응물을 제거하는데 요하는 시간은 반응물이 벽에 쉽게 부착되지 않는 사실에 의해서 크게 감소될 수 있다. 이것은 ALD에 대해서 특히 상당한 이익이며, 기상 반응을 피하기 위해서 각 반응 펄스 간에는 제거단계(특히 불활성 가스와의 세정)가 반복적으로 채용된다. 증착반응에 대한 반응공간 표면의 비활성화는 상당히 감소된 세정 시간으로 유효한 세정을 허용한다. ALD의 환경에 있어서, "유효한" 세정은 연속적인 반응 펄스 간에 기상 혼합의 과도한 위험을 막을 수 있을 정도의 세정을 의미한다. 이것은 ALD 단계 동안 시간 주기의 감소뿐만 아니라 기상 CVD 반응의 감소로 인해 기판 상에 보다 균일한 증착막을 가져온다.
본 발명의 다른 측면 및 이점은 다음의 도면, 상세한 설명 및 청구범위를 고찰함으로써 알 수 있다.
도 1은 ALD 반응기의 개략적인 단면도이다.
도 2a 및 2b는 반응공간 내의 반응 표면에 비활성화를 가져오는 예시적인 화학적 반응의 도면이다.
도 2c 및 2d는 각각, 다른 처리약품 및 반응기 표면 상에 만들어진 보호층의 도면이다.
도 3은 증착공정에 이어지는, 반응공간 표면을 비활성화하는 엑스 시튜 처리공정에 대한 흐름도이다.
도 4는 증착공정에 이어지는, 반응공간 표면을 비활성화하는 인 시트 처리 공정에 대한 흐름도이다.
도 5는 증착실행과 관련하여 반응기 부품의 광학 전처리를 포함하는, 비활성화 처리 및 재처리를 보이는 개략적인 흐름도이다.
이하, 본 발명의 특징, 측면 및 이점을 바람직한 실시예의 도면을 참조하여 설명할 것이며, 이들 실시예는 예시적인 것일 뿐, 본 발명을 제한하려고 의도한 것은 아니다.
도 1은 원자층 증착(ALD) 반응기(100)의 개략도이다. 이 ALD 반응기(100)는 증착 반응 증기가 인가된 반응기 표면을 비활성화하기 위한 본원에 기술한 바와 같은 처리로 이익을 얻는 예시적인 장치이다. 또한, 본원에 기술한 처리는 가령, 화학 기상 증착(CVD) 반응기와 같은 다른 반도체 처리 툴에서 사용할 수 있다. 그러나, 이러한 처리가 반도체 처리 툴에서의 사용으로 제한되지는 않으며, 다양한 산업에서 사용된 화학 반응 툴의 보호를 위해서 유용하다. 예시적인 산업은 항공우주, 화학, 및 전기산업을 포함한다.
이 처리는 다양한 일반 반응기 물질, 특히, 티타늄, 티타늄 합금, 산화 티타늄, 니켈, 니켈 합금, 스테인리스 스틸, 및 (금속 반응기 표면 상의 패시배이션 층으로 종종 이용되는)산화 알루미늄뿐만 아니라, 글라스 및 석영 등을 포함하는 금속에 적용할 수 있다. 그러한 표면은 증착 동안에 바람직하지 않은 코팅을 초래할 수 있는 반응영역(가령, OH-종단부)을 형성할 가능성이 있다는 것을 발견하였다. 통상적인 산화물(가령, 산화 알루미늄, AL2O3)의 패시베이션은 마찬가지로 -OH 표면 종단부를 포함한다. 처리는 바람직하지 않은 막 퇴적이 집적되는 티타늄 표면에 대해 특히 유용하다. 티타늄 및 유사한 표면은 통상적인 세척기술의 적용시에 표면을 손상시킬 수 있는 가능성이 있다. 가령, 에칭 용액의 사용법은 종종 티타늄 표면에 흠집을 내거나 부식시킬 수 있는 통상적인 세척기술이며, 비드(bead) 송풍과 같은 기계적인 공정 역시, 세척의 빈도를 최소화하는데 특히 이익적인 티타늄에도 손상을 준다. AL2O3 패시베이션이 반응기 작동 중에 부식에 대해 보호할 수 있는 반면, 그러한 패시베이션은 반응영역의 증가된 농도로 인해 증착 퇴적을 악화시킬 수 있다. 특히, ALD 및 CVD 반응기는 제품을 빈번하게 인출 및 분해해야 하고, 증착 퇴적의 제거를 위해 에칭액으로 처리해야 한다. 다음에, 재조립에 앞서서 통상적인 산화물 패시베이션이 가해지며, 세척에 앞서서 다수 세트의 증착을 다시 필요로 한다. 특히, 본원에 기술한 처리로부터 이익적인 반응기 표면은 처리 이전에 친수성 종단부에 특징을 갖게 된다.
ALD 반응기(100)는 서로 ALD 반응기(100)용 하우징의 일부를 형성하는 것으 로, 상부 부재(110), 하부 부재(112), 주입측 부재(114), 배출측 부재(116)를 포함한다. 주입측 부재(114)와 배출측 부재(116)는 각각, 오리피스(115, 117)를 구비한다. 도 1에 도시한 바와 같이, 하우징은 증착챔버(200)를 규정한다. 물론, 부가적인 측벽(개략 단면도에는 도시하지 않음)은 증착챔버(200)를 둘러싸도록 도시한 벽과 협력한다.
여기에서 알 수 있는 바와 같이, 도 1의 반응기(100)는 단지 개략적으로만 도시되어 있으며, 기상 증착 반응기는 다양한 구성을 취할 수 있다. 본원에 기술한 처리공정은 어떤 특정한 구성으로 제한되지 않는다. 예를 들면, ALD 및 CVD 반응기는 도 1에 도시한 바와 같은 가스 라인의 상류 병합을 채용할 수 있다. 한편, 많은 기상 증착 반응기, 및 특히 ALD 반응기는 반응챔버 내로 주입될 때까지 반응물을 별개로 유지함으로써 반응챔버 상류의 공통 라인을 최소화하는 시스템을 채용한다. 그러한 경우에, 처리는 반응챔버 표면에서만 실행될 수 있으며, 아마도 인접 하류의 일부는 표면으로 배출된다. 또한, 수평인 층류 형태의 단일 웨이퍼 반응기로 도시되어 있으나, 본원에 기술한 처리는 배치 시스템 및 다른 형태의 유동 시스템(가령, 샤워헤드, 대기식, LPCVD 등)에도 적용할 수 있다.
기판 서포트 또는 서스셉터(204)는 ALD 반응기(100) 내에 배치되며, 증착 공정의 진행 중에 하나 이상의 기판이나 웨이퍼(하나의 웨이퍼(205)가 도시됨)를 지지하도록 구성된다. 처리에 앞서서, 하나 이상의 기판이 기판 서포트 또는 서스셉터(204) 상에 놓인다. 일부 구성에 있어서, 기판 서포트(204)는 증착 챔버(200)내에서 회전하도록 적용된다. 일단 처리가 완료되면, 웨이퍼(205)는 증착챔버(200)로 부터 제거할 수 있다. 반응기(100) 역시, 적절한 증착온도로 기판(들)을 유지하기 위한 가열수단 및 선택적으로 벽면을 제어하는 별개의 가열수단을 구비한다.
ALD 반응기는 또한 가스 분배 시스템(130)을 구비한다. 가스 분배 시스템(130)은 증기 소스(119a-n)로부터 이어지는 적어도 2개의 가스 주입라인(118a-n) 및 진공펌프(121)까지 이어지는 배출라인(120)을 포함한다. 주입라인(118a-n)은 적어도 하나의 오리피스(115)를 통해서 반응챔버(200)와 유체 연통된다. 배출라인(120)은 적어도 하나의 오리피스(117)을 통해서 반응챔버(200)와 유체 연통된다. 가수 분배 시스템(130)은 반응챔버(200)의 상류에 믹서 챔버(132) 형태의 공통 공간을 갖는 것으로 도시되어 있다. 믹서 챔버(132), 반응챔버(200), 및 어떤 중개 분배 매니폴드는 그러므로, 적어도 2개의 상호 반응성 반응물, 및 도시한 구성에서는 모든 반응물을 (ALD에서는 상이한 시간에, CVD에서는 동시에)받아들인다. 따라서, 믹서 챔버(132)와 반응챔버(200)는 함께 반응공간을 규정한다. 가스 분배 시스템(130) 및 믹서 챔버(132)는 도 1에 도시한 바와 같이, 반응기의 일측으로만 제한되지 않는다. 이 시스템은 다중 및 개별 가스 분배 시스템 및 반응공간(200)까지 양립할 수 없는(상호 반응성) 가스를 분리하는데 편리하게 사용할 수 있는 혼합 챔버를 가질 수 있다. 반응챔버(200)만이 다수의 반응물을 (동시에 또는 순차적으로)받아들이는 경우, 다음에 반응챔버(200)는 배기 시스템의 인접한 하류부분과 함께, 반응공간을 규정한다. 따라서, 본원에 사용한 바와 같이, "반응공간"은 다수의 상호 반응성 반응물을 받아들이는 표면을 칭하는 것으로 이해해야 한다.
가스 분배 시스템은 ALD 반응기(100)를 통해서 반응물 및 퍼지가스를 보내하 도록 구성된다. 예를 들면, 가스 분배 시스템(130)은, ALD 반응기로 들어가서 주입라인(118a, 118b)을 통해서 증착챔버(200)까지 주기적으로 반응가스를 보낸다. 보다 상세하게, 가스 분배 시스템(200)은 제 1반응물 주입라인(118a) 및 믹서 챔버(132)를 통해서 제 1반응가스를 증착챔버(200)로 보낸다. 일단 증착챔버(200)에 있어서, 제 1반응가스의 일부가 웨이퍼(205)의 표면 상에 흡착된다. 상술한 바와 같이, 제 1기상 반응물은 증착챔버(200) 내에서 또는 주입라인(118) 상에서 다른 표면 상에 불리하게 흡착될 수 있다. 다음에, 가스 분배 시스템은 퍼지 주입라인(118c)을 통해서 퍼지가스를 증착챔버(200)까지 보낸다. 퍼지가스는 기판 또는 웨이퍼의 표면 상에 흡착되지 않은 제 1반응가스의 일부뿐만 아니라, 있을 수 있는 부산물을 치환시킨다. 치환된 제 1반응가스의 일부 및 퍼지가스는 배출라인(120)을 통해서 증착챔버(200)를 빠져나간다. 그 후에, 흡착된 단층과의 반응을 위해서 제 2기상 반응물이 제 2반응물 주입라인(118b)을 통해서 공급되며, 여분의 제 2반응물 및 부산물은 제거된다. 일부 구성에 있어서, ALD 사이클 역시 부수적인 퍼지단계와 함께 제 3, 제 4 등의 반응 펄스를 구비할 수도 있다.
반응물 및 퍼지가스 이외에, 도시한 가스 분배 시스템은 처리라인(118d)을 통해서 하나 이상의 처리가스를 증착챔버(200)로 주기적으로 보낸다. 가스 분배 시스템은 각 처리가스를 도시한 바와 같은 공유 주입라인(118z)을 통해서 증착챔버(200)로 보내는 것이 바람직하며, 증착 반응물은 반응챔버(200)의 일부 상류 경로를 공유한다. 보다 상세하게 후술하는 바와 같이, 각 처리 가스는 ALD 처리에 대한 이익을 제공할 수 있다. 예를 들면, 처리 가스가 선택됨에 따라서, 증착챔버(200) 에 미리 존재하는 어떤 표면 종단부와 공동으로, 제 1처리 가스는 작동 중에 그들 동일 표면에 부착되는 처리(가령, 증착) 반응가스에 대해 반응공간 표면을 비활성화시키도록 그들 표면에 적절하게 부착할 수 있다. 이러한 방식에 있어서, 처리가스, 증착 반응물, 및 퍼지가스는 주입라인(118a-n)을 통해서 하우징으로 들어가며, 배출라인(120)을 통해서 하우징을 빠져나온다.
당업자라면 본원의 개시를 고려하여, 처리가스가 가스 혼합물을 포함할 수 있음을 이해할 수 있을 것이다. 예를 들면, 반응기 표면 상의 화학 증착용으로 적합한 많은 처리가스는 표준 조건 하에서 고체 또는 액체일 수 있다. 따라서, 그러한 처리가스는 별도의 소스 용기 내에서의 증발에 의해 반응챔버로 전달되고, 소스용기로부터 반응기 표면까지의 불활성 담체 가스에 동반되는 것이 가장 바람직하다. 그러한 분배는 특히 반응기 표면의 인 시튜 기상 처리에 적용할 수 있으나, 이하의 개시로부터 알 수 있는 바와 같이, 반응기 부품의 엑스 시튜 처리에 대해서도 적용이 가능하다. 또한, 처리가스는 반응가스의 혼합물을 포함할 수 있다.
처리가스에 추가하여, 증착챔버(200)내에 전처리 가스가 선택적으로 도입될 수 있다. 이 제 2 또는 전처리 가스는 상술한 처리가스 이전에 또는 그와 공동으로 증착챔버(200)내로 도입될 수 있다. 특히 바람직한 본 발명의 실시예에 있어서, 이 처리가스는 반응공간 표면의 전(前)처리나 하(下)처리를 위한 산소함유 가스이다. 산소함유 가스는 제 1처리가스의 도입에 앞서서 반응챔버(200) 내로 도입되는 것이 바람직하다. 산소함유 가스는 증착챔버(200) 표면과의 반응 및 증착공간 표면 상의 반응영역 밀도의 증가에 의한 제 1처리가스와의 보다 완벽한 반응을 위해, 증착챔 버(200)의 표면을 유리하게 하처리한다. 일단, 산소함유 가스가 이들 반응 영역을 형성하면, 증착챔버(200)의 표면은 후속 처리가스를 더욱 흡착하여, 그들 동일 표면 상에 부착되는 반응가스에 대한 보다 조밀하고 꽉 들어찬 패시베이션을 형성할 가능성이 있다. 도시한 실시예에 있어서, 전처리는 보다 친수성 표면을 생성하는데 특징이 있는 한편, 처리는 보다 소수성 표면을 생성하는데 특징이 있을 수 있다. 반응기 표면을 비활성화하기 위한 그같은 전처리 및 처리의 병합은 도 2a와 2b를 참조하여 보다 상세하게 설명한다. 도 3 및 4에 관한 설명에서와 같이, 전처리는 반응기 부품의 엑스 시튜 처리와 같이, 액상 약품에 대한 노출에 의해서 실행할 수 있다. 그같은 경우에, 반응기는 기상 처리약품의 소스를 구비할 필요는 없다.
가스 분배 시스템은 부가적인 주입라인(도시 생략)을 구비할 수 있다. 예를 들면, 가스 분배 시스템은 ALD 반응기(100)의 구성에 따라서 처리, 증착 반응물, 및 불활성 가스용으로 설계되는 보다 많은 수의 주입라인(118a-n)을 구비할 수 있다. 또한, 각 주입라인은 하나 이상의 부가적인 가스 유동라인에 결합되거나 결합되지 않을 수 있다. 예를 들면, 반응가스용으로 설계된 하나 이상의 주입라인은 담체 가스(가령, 기포발생기용)의 소스까지 도시한 소스 컨테이너(119a-n)의 상류에 결합될 수 있는 한편, 다른 반응물 소스는 표준 조건 하에서 자연적으로 기상인 반응물용의 단순한 컨테이너 또는 가스 용기일 수 있다. 그러므로, 도시한 실시예에 있어서, 주입라인(118a-n)은 반응가스 소스 및/또는 액상 증기 소스(가령, 증발기 또는 기포 발생기)로부터의 반응가스를 흘려보낸다. 주입라인(118d)은 처리가스 소스(119d)로부터 증착챔버(200)로 처리가스가 흐르도록 한다. 주입라인(118c)은 불 활성 가스 소스(119c)로부터 불활성 가스가 흐르도록 한다. 세정 또는 담체용의 예시적인 불활성 가스로는 질소 가스(N2)가 있다.
주목할 점은, 도시한 가스 분배 시스템(130) 역시 혼합장치(132)를 구비하는 것이다. 혼합장치(132)는 가스가 증착챔버(200)로 들어가기 전에 주입라인(118a-n)으로부터의 가스를 혼합한다. 예를 들면, ALD 동안, 하나의 반응물을 불활성 담체 가스 유동과 혼합하는 것이 바람직할 것이다. 또한, 비록 ALD 반응물이 기상으로 혼합되지 않을지라도, 믹서 수단 내의 동일한 공간을 통해서 순차적으로 흐르는 2개의 ALD 반응물은 제 2반응물보다 제 1반응 펄스 이전에 믹서 내부면 상에 부착된 제 1반응물과 반응하는 사실로 인해 증착이 일어난다. 그러한 반응챔버 상류에서의 제어가능한 증착은, 제 1반응물이 제 2반응 펄스 동안에 반응기 표면으로부터 흡착되도록 하는 것과 비교하여, 희생 반응으로서 바람직하며, 이것은 반응챔버(200) 내에서 제어되지 않은, 비(非)자기 제한 CVD식 반응을 가져올 수 있다. 다음에, 믹서 챔버(132)는 주기적으로 세척될 수 있다. 혼합장치를 채용하거나 채용하지 않을 수도 있다. 일부 간단한 ALD 구성에 있어서, 하나의 가스가 반응기의 일측으로부터 도입되고 다른 가스는 반응기의 타측으로부터 도입될 수 있다. 이 경우에, 반응물에 의해 공유되는 전용 공간은 배출라인(120)은 별개의 문제로 하고, 반응챔버(200) 그 자체가 된다.
설명의 용이를 위해서, 증착 챔버(200) 영역은 적어도 2개의 반응가스 또는 가스 혼합물이 공급되는 가스 분배 시스템에 인접한 어떤 부분을 따라서, "반응공 간"의 일부로서 규정된다. 하나의 반응물에만 노출되는 가스 분배 시스템 내의 라인과는 달리, 하나 이상의 반응가스에 노출된 라인은 특히 그들의 내부면 상에 막 퇴적이 일어나기 쉽다. 따라서, 반응기 표면 상의 증착은 믹서(132)내에서 발생할 뿐만 아니라, 증착챔버(200)의 표면, 챔버(200)와 인접해서 연통되는 배출라인(120)의 내부면, 및 믹서 장치(132)의 하류와 증착챔버(200)의 상류에 배치되는 공유 주입라인(118z)에서도 발생한다.
ALD 제어 시스템(150)은 웨이퍼의 처리 전 및 처리 동안에 ALD 반응기(100)를 제어하도록 구성된다. 예를 들면, ALD 제어 시스템은 ALD 반응기(100) 내로 및 그로부터의 반응물과 퍼지가스의 유동을 제어하기 위해 제어 소프트웨어 및 전기 제어식 밸브를 구비할 수 있다. 일 실시예에 있어서, ALD 제어 시스템은 예컨대, 반응 공간의 내부면 상에 보호층을 형성함으로써, ALD 반응에 대해 표면을 비활성화하기 위해서 ALD 반응기(100) 내로의 처리가스의 유동을 제어한다. 표면을 비활성화한 후에, ALD 제어 시스템(150)은 증착 챔버(200)내에 기판(들)을 장입하고 ALD 반응기(100) 내로 반응물 및/또는 퍼지가스를 흘려보내서 기판(205, 가령 규소 웨이퍼) 상에 증착물이 형성되도록 한다. ALD 제어 시스템(150)은 특정 태스크를 실행하는 가령, FPGA 또는 ASIC와 같은 소프트웨어나 하드웨어 구성요소를 구비할 수 있다. 모듈은 ALD 제어 시스템(150)의 어드레스로 불러낼 수 있는 저장매체 상에 위치하도록 구성되고 하나 이상의 프로세서를 실행하도록 구성되는 것이 이익적일 수 있다.
처리 반응물 또는 처리약품은 웨이퍼 또는 기판의 처리에 앞서서 반응 공간 의 적어도 일부와 반응한다. 이 반응공간은 ALD 반응기(100)의 내부면의 일부를 포함할 수 있다. 처리약품은 반응공간 표면 상의 반응영역을 결속하거나 점유하는 것이 바람직하다. 일부 구성에 있어서, 처리약품은 액상의 용액으로 공급된다. 도 1의 실시예에 있어서, 처리약품은 기상으로 처리 소스(119d)로부터 반응공간으로 공급되며, 이 경우에 반응으로 인한 어떤 부산물을 수반하는 미사용 처리가스는 배출라인(120)을 통해서 ALD 반응기(100)로부터 배출된다. ALD 제어 시스템(150)은, 공정 진행 전 및 진행 중에 처리가스를 포함하는 여러 가스가 ALD 반응기(100)에 도달하는 것을 허용하거나 막기 위해 하나 이상의 밸브를 제어한다. 하나 이상의 다양한 가스 소스(119)는 압축되거나 압축되지 않을 수 있으며, 고체나 액체 상태의 반응물을 기화시키기 위해 가열될 수 있다.
상술한 바와 같이, 처리가스는 기상 또는 기체형태로 주입라인(118d)을 통해 증착챔버(200)내로 처리약품을 흘려보냄으로써, 반응공간의 일부에 공급되거나 재공급될 수 있다. 이 공정은 도 4를 참조하여 후술한다. 그러나, 본 발명은 그것으로 제한되지는 않는다.
다른 실시예에 있어서, 처리물은 증착챔버(200) 조립체 전후의 반응공간의 일부에 직접 공급 또는 재공급될 수 있다. 예를 들면, 액상 또는 기상의 처리약품은 챔버(200)가 그들 콤포넌트로 조립되기 전에 반응공간의 하나 이상의 콤포넌트에 공급될 수 있다. 이 공정은 도 3을 참조하여 후술한다. 따라서, 처리액은 가령, ALD 반응기(100) 조립체 이전의 믹서 장치(132)에 공급될 수 있다. 이 경우에, 처리약품 역시, ALD 반응기(100) 조립체 이후의 반응공간의 일부에 직접 공급 또는 재공급될 수도 있다. 이러한 재공급은 가령, 도 3에 대해, 분해 및 엑스 시튜 처리에 의해서, 도 4에 대해, 주기적인 인 시튜 처리에 의한 ALD 처리를 위해서 증착 챔버(200)를 사용한 후에 일어날 수 있다. 재처리는 ALD 반응기(100)의 사용 동안 초기 처리의 유효성을 유지한다.
도 2a 및 2b는 처리약품 또는 반응물(206)과 반응공간 표면 상의 반응영역 간의 예시적인 화학반응를 보이는 도면이다. 도시한 실시예에 있어서, 반응영역은 반응공간의 표면(201) 상에 -OH 표면기(210)를 포함한다. 화학반응은 보호층(208)의 형성을 가져온다. 보호층(208)은 웨이퍼 또는 기판의 공정 진행 동안 바람직하지 않은 증착이 처리된 표면 상에 형성될 가능성을 줄이기 위해 반응공간을 규정하는 선택한 표면을 부동화하거나 비활성화한다. 특히, 자연적으로 발생하는 -OH 표면기(210)는 반응기의 작동 중 증착 반응에 대한 반응 또는 증착영역으로서의 역할을 한다. 따라서, 표면(201) 상의 이들 반응 또는 흡착 영역과 결속, 점유 또는 그렇지 않으면 비활성화하는 보호 코팅(208)의 층을 형성함으로써, 이들 동일 반응 또는 흡착 영역은 처리가스에 대해 무용지물이 된다. 그러므로, 바람직하지 않은 증착은 회피된다. 또한, 미처리 반응 공간의 세정(purging)과 비교하여, 반응가스가 처리 반응공간의 표면 상에 덜 흡착되므로, 처리된 표면에 의해 규정된 반응공간을 세정하는 사이클 시간은 감소된다. 따라서, 처리약품 또는 반응물(206)은 증착 반응물과 반응하지 않으며 후속 공정(가령, ALD 또는 CVD)을 실행하는 조건 하에 쉽게 흡착되지 않는 것이 바람직하다. 따라서, 처리 반응물의 선택은, 어느 정도 사용하는 반응물 및 공정(가령, 증착)이 일어나는 조건에 달려 있다.
보호층(208)은 증착챔버(도 1의 200)의 하나 이상의 내부면, 상류 믹서(132), 반응물용의 어떤 공유라인(118z), 웨이퍼 서포트(204)의 노출면, 및/또는 반응공간 내의 다른 표면을 포함하는 하나 이상의 표면을 반응공간 내에 형성한다.
원하는 반응공간 표면(들)은 웨이퍼 또는 기판의 장입 및 처리에 앞서서 보호층(208)을 형성하기 위해 처리 및 재처리되는 것이 바람직하다. 도 3의 논의로부터 보다 명백한 바와 같이, 처리는 반응기의 조립 전에, 가령, 기상 처리 반응물(206)에 대한 노출, 또는 처리약품의 용액 내에 반응기 부품을 담궈서 반응기 부품 상에 엑스 시튜로 제공될 수 있다. 또는, 도 1 및 4에 대해서 설명한 바와 같이, 처리는 조립된 반응기(100)의 반응공간을 통한 기상 처리 반응물(206)의 공급에 의해서 인 시튜로 실행될 수 있다.
본 발명의 일실시예에 있어서, 전처리 또는 예비 반응물은 상술한 처리 반응물의 도입에 앞서서 반응공간 표면의 적어도 일부에 공급된다. 설명의 용이를 위해서, 비록 제 2처리가스가 처리 반응물의 공급에 앞서서 반응공간의 표면에 공급되는 것이 바람직할지라도, 본원에서는 이 전처리 반응물도 "제 2"처리 가스라고 부른다. 반응공간 표면에 대한 전처리 반응물의 공급은 -OH 표면기(210) 또는 다른 반응물 영역의 최대 충전 밀도의 보증에 의해서 보호층(208)의 후속 형성을 강화한다. 도시한 실시예에 있어서, 전처리 반응물은 오존과 같은 산소를 포함한다. 오존으로부터 산소 원자는 기존 또는 원래의 -OH 표면기(210)에 추가하여, 부가적인 -OH 표면기(210)를 형성하도록 자유 H원자에 자연적으로 결합한다. 이들 부가적인 -OH 표면기(210)는 제 1처리 반응물에 대한 후속 결합을 위해서 반응공간 표면 상 에 부가적인 반응영역을 형성한다. 이러한 방식에 있어서, 보호층을 형성하는 분자는 반응공간 표면 상에 보다 치밀하게 충전된다. 처리 반응물(206)이 반응공간 표면에 이어서 제공되면, 처리 반응물은 모든 이용가능한 반응영역의 완전한 비활성화를 보증하기 위해서 충분히 수산화된 표면의 -OH 표면기(210)와 화학 반응할 수 있다.
주목할 점은, -OH 표면기가 본원에는 반응 표면 상의 반응영역으로 개시되어 있으나, 일부 구성에서는 다른 표면기가 반응영역으로서의 역할을 할 것이다. 따라서, 자연적으로 발생하였거나 처리약품에 의해서 화학흡착될 수 있는 계획적으로 생산된 표면기는 가령, 질소, 수소, 또는 할라이드 종단부를 포함할 수 있다. 그러한 반응기의 밀도를 최대화하기 위한 전처리는 따라서 수소 함유 반응물(가령, H2, HF, 등), 질소 함유 반응물(가령, NH3, 히드라진, 등), 및 할라이드 함유 반응물(가령, NF3, CF4, 또는 다른 클로로 또는 플루오로카본, 등)에 대한 노출을 포함한다. 또한, 상기 반응 종단부의 리스트는 배타적인 리스트가 아니며, 반응 영역은 다른 형태의 표면기 혼합물을 포함할 수 있다. 선택적인 전처리 단계가 사용되는 경우는 후속 처리 반응물에 의한 완전한 표면 패시베이션을 보증하기 위해 반응영역의 유용성을 증가시켜야 하며 바람직하게 최대화시켜야 한다. 이것은 반응표면 상에서의 처리 반응물의 충전 밀도를 향상시키고, 특히 보다 안정적이며 유효한 비활성막을 가져온다.
부가적으로, 또는 선택적으로, 이용가능한 반응영역의 더 충분한 비활성화는 반응공간 표면에 대한 처리 반응물의 펄스화된 노출에 의해서 촉진될 수 있다. ALD의 분야에 알려져 있는 바와 같이, ALD의 1사이클은 특히 증착될 물질의 완전한 단분자층을 가져오지 않는다. 오히려, 기상 반응물에 부착된 리간드에 의한 입체장해는 일부 잠재적인 반응영역을 차단하며, 특히 리간드는 반응물이 관련된 기판 상에 흡착될 때 여전히 부착된다. 후속 반응물은 리간드를 휘산시켜 보다 이용가능한 반응영역을 노출시키지만, 다시 다른 흡착펄스는 그들 반응영역의 일부를 차단할 것이다. 따라서, 평균적으로 완전한 단분자층을 얻기 위해서는 많은 사이클을 필요로 할 수 있다. 실제로 이러한 현상은 사이클당 옹스트롬에서, 증착율의 형태로, 즉 증착될 물질의 격자 상수보다 상당히 아래임을 스스로 나타내 보인다.
마찬가지로, 처리 반응물이 반응공간 표면 상에서의 반응영역 상의 흡착에 의해서 작용할 때, 표면의 전처리 실행 여부에 관계없이, 입체장해는 모든 이용가능한 반응영역의 완전한 점유를 막을 수 있다. 그러므로, 처리 반응물에 대해 반응공간 표면을 반복적으로 펄스 노출시키는 것이 이익적일 수 있다. 예를 들면, 인 시튜 처리에 대해, 처리는 처리 약품에 대한 연장된 노출, 또는 세정 단계에 의해 분리된 다수의 처리 반응 펄스를 포함할 수 있다.
도 2a에 도시한 예시적인 처리 반응물(206)은 화합물 알킬디시라제인(alkyldisilazane)이다. 그러나, 본 발명은 도 2a에 도시한 화학적 화합물로 한정되지는 않는다. 이하에서 설명하는 바와 같이, 표면반응 영역을 비활성화시키는 다른 화합물을 사용할 수 있다. 예를 들면, 웨이퍼의 선택한 부분 상의 선택적인 ALD를 위한 공정은 캘리포니아주 스탠포드 소재의 스탠포드 대학에서 Collin Mui, Stacey F. Bent, 및 Charles B. Musgrave(이하, "뮤(Mui) 등")에 의해서, "Surface Modification for Selective Atomic Layer Deposition of High-k Dielectric Materials(고-k 유전체의 선택적인 원자층 증착을 위한 표면 개량"이란 명칭으로 2002년에 출판된 프리젠테이션과 함께, 캘리포니아주 스탠포드 소재의 스탠포드 대학에서 Rong Chen, Hyoungsub Kim, Stacey F. Bent, 및 Paul C. McIntyre(이하, "첸(Chen) 등")에 의해서, "Surface Modification of Area-Selective Atomic Layer Deposition(면적-선택적인 원자층 증착의 표면 개량"이란 명칭으로 2003년에 출판된 프리젠테이션에 개시되어 있다. 2개의 문헌 모두를 참조로서 본원에서 그 전체를 인용한다. 예시적인 화합물은 긴 전자 쌍 및/또는 반사 할로겐기를 포함할 수 있다.
첸 등의 공정에 있어서, 처리 반응물은 반응기 작동 조건(가령, ALD에서 바람직하게 200℃ 내지 500℃, 보다 바람직하게 250℃ 내지 400℃) 하에서 유효한 반응영역을 충분히 점유하고 안정적으로 유지하는 방법으로 기판과 적절히 반응한다. 실험은 기상으로 쉽게 분배가능한 바와 같은 작은 처리 반응 분자(가령, 트리클로로에틸시레인, 클로로트리메틸시레인, 브로모트리메틸시레인)로 실행하였다. 첸 등은 이들 실험에서 비록 원하는 기판 표면을 완전히 덮을 수 없거나, 게다가 ALD 조건 하에서 쉽게 휘발되는 것을 발견하였으나, 당업자라면 그러한 처리 반응물이 반응기 벽에서의 퇴적을 줄임으로써 반응기의 성능을 향상시킬 수 있으리라는 것을 쉽게 이해할 수 있을 것이다. 또한, 기상 분배의 유효성은 처리 약품, 분배 공정의 최적화, 전처리의 사용, 펄스화된 처리, 보다 빈번한 재처리 등의 적절한 선택에 의해서 개선될 수 있다.
기판 표면을 보다 조밀하게 덮고 증착 없이 보다 높은 온도에서 견디기 위해서, 첸 등은 주로 액상으로 제공된 분자, 자기 집적 분자층(self-assembled monolayer; SAM)을 생성하기 위해 보다 특히 중합가능한 분자를 조사하였다. 이하에서 보다 상세하게 기술하는 바와 같이, 옥타데실트리클로로시레인(CH3-(CH2)17-SiCl3, 또는 축약을 위해 ODTCS)과 같은 실레이팅 제(silylating agents)를 사용하였다.
도 2a에 있어서, 보호층(208)을 형성하는 화학반응이 여전히 일어나야 한다. 따라서, 도 2a의 표면은 처리하지 않은 상태로 있다. 이 비처리 상태에서, 표면(201)은 하나 이상의 -OH 표면기(210)(또는 다른 반응 영역)를 적절히 포함한다. -OH기(210)는 처리 가스(206)와 반응할 수 있다. 만일 -OH기(210)가 처리가스(206)와의 반응에 의해서 비활성화되지 않으면, 다음에 -OH기는 후속 웨이퍼 공정 동안에 반응 가스(가령, CVD 또는 ALD 전구체)와 반응할 수 있다.
CVD는 반응물의 열 증착이 CVD 반응기의 표면 상에서 일어나도록 하기 위해 고온을 수반하므로, ALD 반응기 표면과 비교하여, CVD 반응기 표면에 대한 처리 반응물의 선택을 위한 다른 기준이 이용될 수 있다. CVD에서, 기판 또는 웨이퍼 표면은 열 시스템에 의해 고온을 받기 때문에, 반응가스는 그 위에서 분해된다. CVD 반응기의 설계에 따라서, 열 시스템은 기판의 표면 이외에 반응공간 표면의 표면온도를 증가시킬 수 있다. 반응공간 내에서의 이러한 온도 증가는 보호층을 제거할 수 있다. 그러나, CVD 반응기 내에서의 보호층의 라이프 주기를 개선하기 위해 열 관리 단계를 택할 수 있다. 예를 들면, 기판과 기판 서포트로 열을 제한하면서 다른 표면을 상대적으로 차갑게 유지하기 위해 냉간 벽 열 시스템을 CVD 반응기에 결합할 수 있다. 또한, 반응공간 표면에 대해 보다 강하게 결속하도록 처리 반응물을 선택할 수 있다.
웨이퍼의 공정 동안에 -OH기(210)(또는 다른 반응영역)와 증착 반응물 간의 반응 또는 화학적 결합을 막기 위해, 처리 반응물(206)의 일부는 -OH기와의 반응에 의해서 표면(201)에 결합된다. 예를 들어, 만일 처리 반응물(206)용으로 선택한 화합물이 알킬디시라제인이면, 이 알킬디시라제인의 규소원자와의 화학적 결합으로부터 반응공간 표면(201)상의 -OH기(210)의 산소원자는 따라서, 암모니아(NH3)를 유리시킨다. 이들 규소원자와 산소원자 간 및 비반응성 유기 테일(tail)의 화학적 결합의 형성은 도 2b에 도시한 바와 같이 표면(201) 상에 보호층(208)을 형성한다. 이 보호층(208)은 5이하의 단분자층이고, 바람직하게는 두께가 약 2이상의 단층이며, 가장 바람직하게는 반응 분자(206)의 두께가 약 1인 단층인 점을 주목하자. 당업자라면 이해할 수 있는 바와 같이, 증착공정의 자기 귀환 본성으로 인해서 보호층(208)은 타깃 반응공간 표면(들)(201)을 균일하게 피복하는 것이 바람직하다. 일단 형성되면, 표면(201)은 처리된 상태이며, 웨이퍼 공정 동안에 그 위에 증착을 덜 형성할 것이다. 첸 등의 유기 처리 반응물에 의해 생성된 보호층(208)은 처리 가스용의 소수성 표면을 만들어 기판(201)상에서의 특정 형태의 ALD 화학반응에 의한 증착을 억제한다.
화합물 알킬디시라제인은 처리 반응물(206)에 대한 예시적인 화합물이다. 첸 등에 의한 상기 인용한 프리젠테이션에 기술된 바와 같이, 다른 예시적인 화합물은 유기시레인 및 반응성 할로겐기를 갖는 화합물을 포함한다. 예시적인 유기시레인으로는 알킬디시라제인, 아릴디시라제인, 및 알킬아릴디시라제인(화학식 1); 및 알킬할로시레인, 아릴할로시레인, 및 알킬아릴할로시레인(화학식 2)이 있다. 처리 반응약품의 선택은 주로 ALD 반응기에 대한 작동조건을 토대로 한다. 예를 들면, 증착공정이 400℃에서 실행되고 HCl과 같은 부식성 부산물을 생성할 경우, 반응기 표면 상에 형성된 처리막은 분해나 반응 없이 그러한 조건을 견딜 수 있어야 한다. 반응기 표면 상의 충전 밀도 역시 약품 선택에 있어서 중요한 기준이다.
Figure 112005063417820-PCT00001
화학식 (1)에서, R1 내지 R6은 각각 C1 내지 C6 알킬 또는 C6 내지 C10 아릴이다. 화학식 (1)은 R1 내지 R6이 알킬인 알킬디시라제인, R1 내지 R6이 아릴인 아릴디시라제인, 및 R1 내지 R6의 하나 이상이 알킬이고 R1 내지 R6의 하나 이상이 아릴인 알킬아릴시라제인이다.
Figure 112005063417820-PCT00002
화학식 (2)에서, R7 내지 R9는 각각 C1 내지 C20 알킬 및 C6 내지 C10 아릴로 이루어지는 그룹으로부터 선택되고, r은 0, 1, 2 또는 3(단, 1≤p+q+r≤3)이고, 각 X는 할로겐 원자이다. 화학식 (2)는 R7 내지 R9가 알킬인 알킬디시라제인, R7 내지 R9가 아릴인 아릴디시라제인, 및 R7 내지 R9의 하나 이상이 알킬이고 R7 내지 R9의 하나 이상이 아릴인 알킬아릴시라제인이다.
할라이드 종단부를 갖는 큰 유기 분자는 매우 안정적이고 표면으로부터 쉽게 제거되지 않는 자기 집적 단층(SAM)을 반응기 표면 상에 형성할 수 있다. 또한, 큰 유기 테일은 입체장해 효과를 제공하여 ALD 반응물이 반응기 표면에 도달하는 것을 허용하지 않는다. 후속 동작 동안에 증착 반응물에 대한 핵을 형성할 수 있는 반응영역의 점유를 최대화하기 위해서, 상술한 바와 같이, 입체장해 효과를 최소화할 수 있으나, 일부 경우에, 입체장해 효과는 안정적이고 보다 완전한 범위를 제공할 수 있다. 가령, 첸 등에 의해 개시된 화합물 옥타데실트리클로로시레인(ODTCS)과 같이, SAM을 형성하는 큰 반응물은 입체장해를 통해서 보호 효과를 강화할 수 있다.
도 2c 및 도 2d는 SAM을 형성하는 큰 분자(특히 ODTCS)에 의한 처리를 나타낸다. 도 2c에 도시한 처리 반응물(206)은 ODTCS를 포함한다. 전형적으로, 반응공 간 표면(201)은 ODTCS의 용액에 노출되며, 결과로 얻은 보호층(208)은 큰 유기 리간드 또는 "테일"(212)을 포함한다. 이들 테일(212)에 의해서 제공된 벌크는 보호층(208) 아래에 점유되지 않은 어떤 잔여 보호영역(210)을 보호하며, 따라서 어떤 증착 반응물(214)에 의한 접근 차단하여 증착을 막는다. 또한, 테일 및 상대 소수성의 사이즈는 SAM에 대한 분자의 자발적이고 안정적인 단향성 조직을 촉진한다. 큰 분자량 역시 보다 열적으로 안정적인 보호막(208)과 상응하는 경향이 있다. 보다 작은 반응 분자 역시, SAM을 형성할 수 있으며, 반응기 표면의 비활성화를 위한 인 시튜 처리를 위해 기상으로 보다 쉽게 분배될 것이라는 점을 주목해야 한다.
처리 반응물(206)이 표면(201)과 화학 반응하여 보호층(208)을 형성한 후에, 반응기는 (엑스 시튜 처리인 경우에 필요하면)조립되며, 기판(들)은 증착챔버(200)내로 장입된다. 일단 장입되면, 웨이퍼 또는 기판의 공정이 개시되며 이제 그에 대해서 설명한다.
ALD 반응기(100)를 통해 증착 반응가스가 순환되는 순서는 원하는 제품에 따라 달라진다. 각 가스가 증착챔버(200)내로 들어가기에 앞서서 하나 이상의 반응가스 간의 어떤 상호작용을 최소화하기 위해서, 퍼지가스는 반응가스의 펄스 간에 ALD 반응기(100)를 통해서 주기적으로 순환되는 것이 바람직하다. 이 방식에 있어서, 불활성 가스는 여분의 반응가스 및 어떤 부산물의 반응 공간을 세정할 수 있다. 다양한 반응가스 및 퍼지가스는 웨이퍼 상에 하나 이상의 단층을 형성하기 위해서 ALD 반응기(100)를 통해서 동시에 순환된다. 증착챔버(200)로부터 반응가스를 제거하기 위해서, 증착챔버를 세정하는 것 대신에, 또는 그 이외에, 당업계에 알려 진 다른 기술이 사용될 수 있다. 예를 들면, 제 1반응가스는 제 2반응가스의 도입 전에 증착챔버(200)로부터 압송되어 나올 수 있다.
증착 반응가스는 기판의 표면 상에서 흡착 및 반응한다. 도 2b에 도시한 보호층이 기판(201) 상에 미리 형성되었기 때문에, 반응가스는 기판 상에서 우선 흡착 및 반응하기보다, 반응공간의 표면과 반응하거나 그에 부착된다. 여분의 반응가스 및 어떤 반응 부산물은 반응펄스 동안이나 후속 반응 제거단계 동안에, 배출라인(120)을 통해서 증착챔버(200)를 빠져나온다. 배기라인 표면에 대한 반응물의 부착 역시 본원에 기술한 처리에 의해서 최소화할 수 있음을 주목해야 한다.
도 3은 반응공간의 표면에 보호층을 제공하는 엑스 시튜 처리공정을 포함하는 프로세스에 대한 흐름도이다. 다음 공정은 CVD 또는 ALD 반응기에 적용될 수 있다. 공정은 반응기의 조립에 앞서서, 하나 이상의 반응기 부품의 하나 이상의 부분을 처리하는 단계(302)부터 개시된다. 일단 조립되면, 적어도 부분적으로는 반응표면을 규정하는 반응기 부품의 일부에 대해 처리(302)를 실행하는 것이 바람직하다. 예를 들면, 외부면이 반응물에 대해 노출되지 않기 때문에, 보호층은 상부 부재(110)의 외부면이 아니라 상부 부재(110)(도 1)의 내부면에 제공될 수 있다. 그러나, 일부 공정에 있어서, 상부 부재(110)의 특정 표면이나 구역보다는 전체 상부 부재(110)에 대해 처리를 실행하는 것이 처리 공정시간을 줄일 수 있다. 이 처리는 반응물이 처리공간 표면에 부착될 수 있는 해당 표면의 적어도 일부 구역에 대해 실행되는 것이 바람직하다. 컴퓨터 또는 오퍼레이터 제어를 받는 기계는 처리 과정을 실행할 수 있다. 도 3에서 사용한 바와 같이, "처리"는 보호층을 남기는 실제 처리에 추가해서 예비 또는 전처리를 포함할 수 있다. 또한, 이 처리는 기술자에 의해서 하나 이상의 반응 구성품에 대해 실행될 수 있다. 위에 제시한 예에 있어서, 처리(302)는 ODTCS의 액상 용액에 반응부품을 담궈서 자기 집적 단층(SAM)이 반응기 표면 상에 형성되도록 한다.
다음에, 반응기가 조립된다(304). 일단 조립되면, 함께 처리된 반응기 부품의 하나 이상의 부분은 반응기 공간의 적어도 일부를 형성한다. 공정은 증착공정(200) 내의 기판 서포트(204) 상에 웨이퍼 또는 기판(들)을 장입하는 단계(306)로 이어진다.
도 3의 블록(308, 310, 312 및 314)은 예시적인 ALD 공정(315)을 나타낸다. ALD 제어 시스템은 기판을 제 1반응물에 노출시키며(308), 제 1반응물은 제 1반응 주입라인을 통해서 증착챔버로 도입된다. 일단 증착챔버에 있어서, 제 1반응물은 기판(들)의 표면 상에 흡착된다. 이전의 처리(302)로 인해서, 노출단계(308)에서의 흡착은 기판 및 반응챔버의 처리된 표면에 대해서 선택사항임을 주목해야 한다. 제 1반응물이 기판의 표면 상에 흡착된 후에, ALD 제어 시스템은 증착챔버로의 제 1반응물의 유동을 일시적으로 중단하거나 저지한다. 증착챔버로의 제 1반응물의 유동을 저지하는 기구적인 밸브나 다른 수단을 ALD 제어 시스템에서 사용할 수 있다. 예들 들면, ALD 제어 시스템은 제 1반응물이 증착챔버로 들어가는 것을 막기 위해 볼, 니들, 또는 다른 기구적인 밸브 설계를 사용할 수 있다. 기구적인 수단에 추가하여, 제 1증착물이 증착챔버에 도달하는 것을 차단하기 위해 ALD 제어 시스템은 확산 배리어를 생성할 수 있다. 그러한 실시예에 있어서, 불활성 또는 비활성 가스 는 주입라인 자체 내에 확산 배리어를 형성하기 위해 주입라인의 일부를 통해서 역방향으로 선택적으로 흐른다.
여분의 제 1반응물 및 반응공간으로부터의 어떤 부산물은 증착챔버로부터 제거된다(310). 여분의 제 1반응물 및 어떤 부산물을 제거하기 위해서 퍼지단계를 이용할 수 있다. 퍼지단계를 이용하면, ALD 제어 시스템은 퍼지가스를 퍼지 주입라인을 통해서 증착챔버 내로 흘려보낸다. 퍼지가스는 비반응성이며, 기판의 표면 상에 충분히 흡착되지 않는 제 1반응물의 일부를 치환하도록 설계된다. 제 1반응물의 이러한 일부 및 퍼지가스는 배출라인(120)을 통해서 증착챔버로부터 배출된다.
공정은 제 2반응물 주입라인을 통해서 증착챔버 내로 도입된 제 2반응가스에 기판(들)을 노출시키는 단계(312)로 이어진다. 일단 증착챔버에 있어서, 제 2반응가스는 제 1반응물의 흡착된 종과 반응하여 기판의 표면 상에 단층(또는 입체 장해로 인해 부분 단층)을 형성한다. 다시, 이전의 반응 펄스 동안에 처리된 반응공간 상에 흡착된 제 1반응물이 적거나 없기 때문에, 그리고 보호 단층을 남긴 처리가 증착 조건 하에서 제 2반응가스와 반응하지 않도록 선택되었기 때문에, 기판에 대한 제 2반응물의 반응은 선택적인 사항이다. 제 2반응물 및 흡착된 제 1반응물이 함께 기판의 표면 상에 원하는 물질의 제 1단층을 형성한 후에, ALD 제어 시스템은 일시적으로 증착챔버(200)로의 제 2반응가스의 흐름을 일시적으로 중단하거나 저지한다. 증착챔버(200)로의 제 2반응물의 유동을 저지하는 기구적인 밸브나 다른 수단을 ALD 제어 시스템에서 사용할 수 있다. 예들 들면, ALD 제어 시스템은 제 2반응물이 증착챔버(200)로 들어가는 것을 막기 위해 볼, 니들, 또는 다른 기구적인 밸브 설계를 사용할 수 있다. 기구적인 수단에 추가하여, 제 1증착물이 증착챔버(200)에 도달하는 것을 차단하기 위해 ALD 시스템은 확산 배리어를 생성할 수 있다. 그러한 실시예에 있어서, 불활성 또는 비활성 가스는 주입라인 자체 내에 확산 배리어를 형성하기 위해 주입라인(118b)의 일부를 통해서 역방향으로 선택적으로 흐른다.
여분의 제 2반응물 및 반응공간으로부터의 어떤 부산물은 증착챔버(200)로부터 제거된다(314). 여분의 제 2반응물 및 어떤 부산물을 제거하기 위해서 퍼지단계를 이용할 수 있다. 퍼지단계를 이용하면, ALD 제어 시스템은 퍼지가스를 퍼지 주입라인(118c)을 통해서 증착챔버(200) 내로 흘려보낸다. 퍼지가스는 비반응성이며, 기판의 표면 상에 원하는 물질의 형성에 따른 어떤 부산물을 따라 제 1반응물과 반응하지 않은 제 2반응물의 일부를 치환하도록 설계된다. 제 2반응물의 이러한 일부, 부산물, 및 퍼지가스는 배출라인(120)을 통해서 증착챔버(200)로부터 배출된다.
원하는 물질의 제 1단층이 기판 상에 형성된 후에, 반복되는 블록(308, 310, 312, 및 314)은 제 2단층을 형성할 수 있다. 일단 원하는 수의 단층이 원하는 물질(들)로 기판 상에 형성되면, 제어 시스템은 기판 또는 웨이퍼를 증착챔버(200)로부터 취출한다(316).
다시 도 1을 참조하여, 보다 상세하고 구체적인 ALD의 예를 설명한다. 표면 불활성화 처리 후에, ALD 제어 시스템은 하나 이상의 기판을 ALD 반응기(100)의 증착챔버(200)내로 장입한다. 일단 장입이 완료되면, ALD 공정이 시작된다. 2개의 반 응가스 및 퍼지가스가 협동하는 예시적인 공정은 일련의 산화 알루미늄(Al2O3) 단층을 형성한다. 이 예시적인 ALD 공정은 반응가스로서 트리메틸 알루미늄(TMA) 및 H2O를 이용한다. 퍼지가스는 질소(N2)이다. 상술한 바와 같이, 보다 복잡한 공정에서는 기판 상에 형성될 원하는 단층을 토대로, 동등하게 주입라인(118a-n)을 따라서, 반응물 제거단계(가령 퍼지 펄스)에 의해 분리된 3개 이상의 반응물을 사용할 수 있다.
이 예시적인 ALD 공정은 기판 온도를 반응물의 응축온도 위이지만 열분해 온도 아래로 설정하여 시작한다. 제 1반응물 펄스는 주입라인(118a)을 통해서 증착챔버(200)내로 도입되는 TMA로 시작할 수 있다. 일단 증착챔버(200)에 있어서, TMA가 기판의 표면 상에 화학적으로 흡착되어 전형적으로 겨우 단층만을 남긴다. TMA가 기판의 표면 상에 증착된 후에, ALD 제어 시스템은 증착챔버(200)로의 TMA의 유동을 일시적으로 중단하거나 저지한다. 증착챔버(200)로의 TMA의 유동을 저지하는 기구적인 밸브나 다른 수단을 ALD 제어 시스템에서 사용할 수 있다. 예들 들면, ALD 제어 시스템은 TMA가 증착챔버(200)로 들어가는 것을 막기 위해 볼, 니들, 또는 다른 기구적인 밸브 설계를 사용할 수 있다. 기구적인 수단에 추가하여, TMA가 증착챔버(200)에 도달하는 것을 차단하기 위해 ALD 시스템은 확산 배리어를 생성할 수 있다. 참조로서 본원에 그 개시내용이 인용되는 T. Suntola, Handbook of Crystal Growth Ⅲ, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, ch.. 14, Atomic Layer Epitaxy, D.T.J. Hurle 편집, Elsevier Science V.B(1994), pp. 601-663을 참조한다. 특히 pp. 624-626을 참조한다. 그러한 실시예에 있어서, 불활성 또는 비활성 가스는 주입라인 자체 내에 확산 배리어를 형성하기 위해 주입라인의 일부를 통해서 역방향으로 선택적으로 흐른다.
ALD 제어 시스템에 의해 TMA가 증착챔버(200)에 도달하는 것이 중단되거나 저지된 후에, 여분의 반응물 및 어떤 부산물은 증착챔버(200)로부터 제거된다. 이 ALD 제어 시스템(150)은 퍼지가스를 퍼지 주입라인(118c)을 통해서 증착챔버(200) 내로 흘려보낸다. N2가스는 비반응성이며, 기판의 표면 상에 충분히 흡착되지 않는 TMA의 일부를 치환하도록 설계된다. 이러한 TMA의 일부, 부산물, 및 N2가스는 배출라인(120)을 통해서 증착챔버(200)로부터 배출된다.
일단 증착챔버(200)가 세정되면, ALD 제어 시스템은 제 2반응물 주입라인(118b)을 통해서 제 2반응물 펄스(여기서는 기상 H2O)를 증착챔버(200)내로 도입한다. 일단 증착챔버(200)에 있어서, H2O가 흡착된 TMA와 화학 반응하여 기판의 표면 상에 함께 산화 알루미늄(Al2O3)을 형성한다. H2O 및 TMA가 기판의 표면 상에 Al2O3를 형성한 후에, ALD 제어 시스템은 증착챔버(200)로의 H2O의 유동을 일시적으로 중단하거나 저지한다. 증착챔버(200)로의 H2O의 유동을 저지하는 기구적인 밸브나 다른 수단을 ALD 제어 시스템(150)에서 사용할 수 있다. 예들 들면, ALD 제어 시스템(150)은 H2O가 증착챔버(200)로 들어가는 것을 막기 위해 볼, 니들, 또는 다른 기구적인 밸브 설계를 사용할 수 있다. 기구적인 수단에 추가하여, ALD 제어 시스템은 불활성 가스 밸브를 사용할 수 있다. ALD 시스템(150)은 TMA 및 H2O가 증착챔버(200)에 도달하는 것을 차단하는 다른 수단을 사용할 수 있다.
ALD 제어 시스템(150)에 의해 H2O가 증착챔버(200)에 도달하는 것이 중단되거나 저지된 후에, 여분의 반응물 및 어떤 부산물은 증착챔버(200)로부터 제거된다. 이 ALD 제어 시스템은 N2가스를 퍼지 주입라인(118c)을 통해서 증착챔버(200) 내로 흘려보낸다. N2가스는 비반응성이며, 기판의 표면 상에 형성된 Al2O3로 인한 어떤 부산물을 따라서 TMA와 반응하지 않았던 H2O의 일부를 치환하도록 설계된다. H2O의 이러한 일부, 어떤 부산물, 및 N2가스는 배출라인(120)을 통해서 증착챔버(200)로부터 배출된다. Al2O3의 제 1단층이 기판 상에 형성된 후에, 제 2층이 형성될 수 있다. 이하에 설명하는 바와 같이, ALD 제어 시스템(150)은 제 1단층의 형성 동안에 사용한 바와 같은 제 2단층을 형성하기 위한 유사한 단계를 이용한다.
제 2단층을 형성하기 위해, TMA는 제 1반응물 주입라인(118a)을 통해서 증착챔버(200)내로 재도입된다. 일단 증착챔버(200)에 있어서, TMA가 제 1단층의 표면 상에 흡착된다. TMA가 제 1단층의 표면 상에 흡착된 후에, ALD 제어 시스템은 증착챔버(200)로의 TMA의 유동을 일시적으로 중단하거나 저지한다. ALD 제어 시스템(150)은 N2 퍼지가스를 퍼지가스 주입라인(118c)을 통해서 증착챔버(200)내로 흘려보낸다. 일단 증착챔버(200)가 세정되면, ALD 제어 시스템은 제 2반응물 주입라인 (118b)을 통해서 제 2반응물(여기서는 기상 H2O)을 증착챔버(200)내로 도입한다. 일단 증착챔버(200)에 있어서, H2O가 흡착된 TMA와 화학 반응하여 기판의 표면 상에 산화 알루미늄(Al2O3)을 형성한다. H2O 및 TMA가 기판의 표면 상에 함께 Al2O3를 형성한 후에, ALD 제어 시스템(150)은 증착챔버(200)로의 H2O의 유동을 일시적으로 중단하거나 저지하며, 증착챔버(200)는 세정된다.
일단 제 2단층이 기판 상에 형성되면, ALD 제어 시스템(150)은 원하는 막두께가 달성될 때까지 퍼지단계에 의해 분리된 증착챔버(200)내로 TMA 및 H2O를 재도입함으로써 상술한 사이클을 3회 반복할 수 있다. 상술한 바와 같이, 단층보다 작은 경우는 대체로 사이클마다 형성한다. 이것은 이론적으로 이용가능한 모든 반응영역이 공정 동안에 실제로 반응을 위해 모두 이용가능하지 않은 사실에서 기인한 것이다. 일반적으로, 자기 제한 또는 포화 반응을 촉진하는 리간드(가령, TMA로부터 메틸기 또는 TiCl4로부터 염소기)는 입체 장해를 통해서 물리적인 공간을 점유한다. 따라서, 평균 성장률은 원하는 사이클당 물질의 충분한 단분자층보다는 더 크지 않을 수 있다. 예를 들면, TMA((CH3)3Al)로부터 Al2O3의 ALD는 대체로 1Å/사이클 또는 단층당 약 4사이클이다(Al2O3는 약 3Å의 벌크 격자 상수를 갖는다). 각 TMA 펄스에 의해 남겨진 메틸 종단부는 이용가능한 화학흡착 영역의 수를 줄여, 각 펄스로 충분한 단층보다는 적게 형성한다.
ALD를 이용하여 기판에 형성할 수 있는 다른 예시적인 단층으로는 TiN이 있 다. TiN을 형성하기 위한 하나의 공정은 제 1반응가스가 TiCl4이고 제 2반응가스가 NH3인 것을 제외하고는 산화 알루미늄을 형성하기 위해 위에서 설명한 공정과 유사하다.
또한, ALD 공정은 상이한 단층 막을 기판이나 웨이퍼 상에 형성하기 위해서 ALD 반응기(100)를 통해 2종 이상의 반응가스를 순차적으로 흘려보낸다. 각 반응가스는 이어서 증착챔버(200) 내에서 흡착 또는 반응한 후에 배기라인(120)을 통해 배출된다. 3개 이상의 반응물을 이상적인 일련의 사이클에서 사용할 수 있거나, 증착된 물질의 조성을 맞추기 위해 상이한 사이클에서 상이한 펄스를 사용할 수 있다.
예를 들면, 제 1, 제 2 또는 후속 단층이 웨이퍼나 기판 상에 형성된 후에, ALD 제어 시스템은 제 3반응가스를 증착챔버(200)내로 도입할 수 있다. 이 제 3반응가스는 증착챔버(200)내에서 상기의 단층을 형성하기 위해 사용한 2종의 제 1가스와는 상이한 가스일 수 있다. 이 제 3반응가스는 이전에 형성된 단층의 표면 상에 흡수되거나 그와 반응할 수 있다. 일단 제 3반응가스의 유동이 증착챔버(200)에 도달하는 것이 저지되면, 증착챔버(200)내로 제 1 및 제 2반응물의 도입 후에 형성된 상술한 퍼지단계와 유사한 퍼지단계가 발생한다. 그러나, 본원에 기술한 어떤 공정에 대해서도 퍼지가스를 상술한 N2퍼지가스로 한정하지는 않는다. 어떤 여분의 반응가스 및 반응챔버(200)로부터의 부산물을 배출하기 위해 다른 불활성 또는 비활성 가스를 단독으로 또는 N2퍼지가스와 조합하여 사용할 수 있다. 제 3반응가스의 세정은 원하는 단층의 화학적 조성에 따라서 제 1 또는 제 2반응가스나 다른 제 4반응가스의 재도입으로 이어질 수 있다.
또한, 그같은 ALD 시스템으로 나노적층막 및 혼합막을 성장시킬 수 있다. 나노 적증막은 상이한 막의 다중 층(가령, 10Å HfO2를 형성하기 위한 염화 하프늄 및 물의 20사이클에 이어서 5Å Al2O3를 형성하기 위한 TMA 및 H2O의 5사이클)을 갖는 막이다. 혼합 ALD 막은 가령, TMA, H2O, HfCl4, H2O를 순차적으로 펄스화하여 증착된 비결정질 막이 바람직하다.
도 4는 ALD 반응기(100)가 조립된 후에 반응공간의 표면을 비활성화시키는 인 시튜 처리 공정에 대한 흐름도이다. 도시한 공정은 엑스 시튜 처리한 반응기 부품의 재처리와 같은 도 3의 공정과 함께 제공될 수 있다.
공정은 가스 분배시스템이 하나 이상의 처리가스를 증착챔버로 흘려보내는 단계(402)에서 시작한다. 가스 분배시스템은 ALD 처리를 위해 분할된 주입라인을 통해서 증착챔버에 각 처리가스를 흘려보낼 수 있다. 예를 들면, 선택되는 처리가스에 따라서, 증착챔버의 표면 상에서 발견한 어떤 기존의 약품과 조합하여, 단일 처리가스는 반응영역에서 그들 표면에 부착되어 그들 동일한 표면에 부착되는 반응가스에 대한 보호막의 형태로 배리어를 형성하는 것이 바람직하다. 보호층은 증착챔버의 표면을 패시베이션하거나 비활성화하여 웨이퍼 또는 기판의 공정 동안 처리표면 상에 바람직하지 않은 증착물을 감소시킬 것이다. 따라서, 반응공간 표면(들) 상에 이들 반응 또는 흡착영역이 결합 또는 점유하는 보호 코팅층을 형성함으로써, 이들 동일한 반응 또는 흡착 영역은 반응가스에 대해 이용할 수 없게 된다. 이익적으로는, 반응가스가 처리된 반응공간의 표면에 덜 부착되기 때문에, 처리하지 않은 반응공간의 세정과 비교하여, 처리한 증착챔버를 세정하는 사이클 타임이 감소된다.
도 4에서 사용한 바와 같은 처리(402)는 제 2처리가스 상의 전처리의 사용을 포함할 수 있다. 이 제 2처리가스는 상술한 제 1처리가스 이전에 또는 그와 조합하여 증착챔버 내로 도입될 수 있다. 본 발명의 실시예에 있어서, 이 전처리 가스는 산소 함유 가스이다. 산소 함유 가스는 제 1처리가스의 도입에 앞서서 반응챔버 내로 도입된다. 산소함유 가스는 그들 증착챔버의 표면 상의 기존 약품과 화학적 결합을 형성함으로써, 증착챔버를 포함하여 반응공간의 표면을 하처리하는 것이 이익적이다. 일단 산소 함유 가스가 이들 화학적 결합을 형성하면, 증착챔버의 표면에는 제 1처리가스에 의해 그들 동일한 표면 상에 부착되는 반응가스에 대해 보다 조밀하게 충전된 패시베이션을 형성할 가능성이 있다.
여분의 처리가스(들) 및 반응공간으로부터의 어떤 부산물은 반응공간으로부터 제거된다(404). 퍼지단계는 이 제거공정에 대해서도 사용할 수 있다. 퍼지단계가 사용되면, ALD 제어 시스템은 퍼지 주입라인을 통해서 퍼지가스를 증착챔버 내로 흘려보낸다. 퍼지가스는 비반응성이며, 처리가스(들)의 어떤 잉여부분을 치환하도록 설계된다. 처리가스(들) 및 퍼지가스의 이 잉여부분은 배출라인을 통해서 증착챔버로부터 배출된다. 상술한 바와 같이, 처리(402)와 세정(404) 간의 사이클은 반응공간 표면 상의 반응영역의 점유를 최소화하는 것이 바람직할 것이다.
공정은 증착챔버 내의 기판 서포트 상에 기판(들)을 장입하는 단계(406)로 이어진다. 다음에, 증착(408)이 실행된다. 비록, 인 스튜 또는 엑스 시튜 처리를 수반하는 증착이 CVD의 형태일 수 있으나, 증착(408)공정은 도 3의 단계(308, 310, 312 및 314)를 참조하여 상술한 바와 동일한 공정일 수 있다. 증착(408)은 처리 반응 공간 표면과 관련하여 기판 상에서 적절하게 선택된다. 일단 원하는 물질(들)이 원하는 두께로 기판 상에 형성되면, 기판(들) 또는 웨이퍼(들)은 증착챔버로부터 제거된다(310).
반응기가 재처리 또는 주기적인 처리용인지에 대한 결정이 행해진다(412). 예를 들면, 재처리 또는 주기적인 처리는 ALD 반응기가 선택한 시간 주기의 경과 후에 선택한 수의 웨이퍼를 처리한 다음에 실행될 수 있다. 이 시간 주기는 ALD 반응기 또는 경과한 달력 시간에 대한 작동 시간의 수를 토대로 할 수 있다. 증착챔버 및 다른 반응표면의 처리 또는 재처리는 ALD 반응기의 직접 또는 간접 검사에 응해서 실행될 수 있다. 예를 들면, 직접 검사는 바람직하지 않은 증착에 대한 증착챔버 표면의 육안 검사일 수 있다. 반응공간의 표면 검사보다는, 또는 그 검사에 부가해서, 비균일성, 열약한 장치 성능 및/또는 높은 입자 함량에 관해서, 처리한 웨이퍼 및/또는 배출가스를 검사할 수 있다. 상술한 항목 중 어떤 것은 기판 상의 바람직하지 않은 CVD 모드 반응으로 인한 것인지 또는 반응공간 표면으로부터 바람직하지 않은 증착의 균열에 의해서 야기되는 오염으로 인한 것인지를 반응기 벽 상의 증착에 대한 불충분 선택도의 표시기일 수 있다.
반응기가 재처리용일 경우, 공정은 상술한 바와 같이 처리가스가 반응공간을 통해서 유동되는 처리(402)로 리턴한다. 재처리는 ALD 반응기(100)의 사용 동안 초기 처리의 유효성을 유지한다. 마찬가지로, 도 3의 엑스 시튜 처리 공정은 반응기의 분해, 처리 및 재조립에 의해서 주기적으로 반복될 수 있다.
결정 블록(412)으로 돌아와서, 만일 반응기가 재처리용이 아니라면, 처리는 하나 이상의 기판을 증착챔버(200)내에 장입(406)하는 단계로 리턴한다. 다음에, 공정은 상술한 바와 같이 증착(408)공정을 진행한다.
도 5는 도 3 및 4보다 더 일반화된 처리 플로우를 나타낸다. 도시한 바와 같이, 반응공간 표면은 이 반응공간 표면 상의 반응영역의 밀도를 최대화하기 위해 선택적으로 전처리된다(502). 그같은 전처리(502)는 상술한 바와 같이 인 시튜나 엑스 시튜로 실행될 수 있다. 이 전처리(502)는 선택적인 것이며, 반응공간 표면 상에 본래적으로 충분한 반응영역이 존재하는 경우에는 생략할 수 있음을 주목해야 한다.
어떤 전처리(502)에 이어서, 추가 반응에 대한 패시베이션을 위해서 반응공간 표면이 처리된다. 도시한 실시예에 있어서, 처리약품은 반응영역을 점유하며, 상대적으로 비반응성 표면 종단부는 그대로 남긴다. "비반응성"은 후속 증착반응 동안에 화학약품, 온도, 및 다른 조건에 관한 것이다.
처리(504)에 이어서, 반응기는 후속공정을 위해 준비한다(506). 만일 처리가 엑스 시튜로 실행되면, 반응기의 준비(506)는 엑스 시튜로 처리된 반응기 부품의 일부 조립을 포함할 수 있다. 한편, 처리가 도 4의 실시예에 따라서 인 시튜로 실행되면, 준비(506)는 반응공간으로부터 처리약품을 세정하는 단계를 수반할 수 있 다.
준비(506)에 이어서, 증착운전(508)이 일련의 기판 상에서 실행된다. 초기에, 하나 이상의 기판(들)이 반응공간 내로 장입된다(510). 다음에, 기판(들)상에서 선택적 증착(512)이 실행된다. "선택적 증착"은 당업계에 잘 알린 용어이며, 일반적으로 다른 표면을 수용하는 5배의 증착속도보다 큰, 바람직하게는 10배보다 큰 공정을 말한다. 따라서, 당업자라면 비록 처리(504)를 통해 증착작용에 대해 "비반응성" 표면 종단부가 남을지라도, 일부 증착은 그러한 처리 반응공간 표면 상에서 조차 발생할 수 있음을 쉽게 이해할 수 있을 것이다. 그럼에도 불구하고, 반응기 부품의 감소 및 증착공정의 반응약품 상호간의 바람직하지 않은 반응을 여전히 피하면서 감소된 세정이 가능한 점에서 비활성화 처리(504)에 의해서 무수히 많은 이익이 얻어진다. 후자의 감소된 세정시간의 이익은 ALD 공정에 대해서 특히 이익적이며, 기상 혼합은 증착을 위한 반응 펄스에 앞서서 챔버의 밖에서 반응물을 세정함으로써 적절히 회피된다. 이것은 퍼지 시간의 감소로 인해서 기판의 보다 빠른 처리를 가능케 한다.
선택적 증착(512)에 이어서, 기판(들)은 취출되고(514), 공정은 새로운 기판(들)에 대해 반복된다(516). 반복(516)은 충분한 청결이 얻어질 때까지 계속된다. 주기적으로, 반응공간 표면은 선택적 전처리(502) 및 처리(504)에 이어서, 일반적으로 어떤 퇴적물을 제거하기 위한 세척공정(도시 생략)보다 앞서서, 추가적인 패시베이션 단계(가령, 금속표면 상에 산화 알루미늄을 도포)일 수 있는 재처리를 받게 된다. 이익적으로는, 본원에 기술한 처리(504)는 처리 없는 절차와 관하여, 반 응기를 세척할 필요성 이전에 연장된 증착 운전(즉, 보다 많은 수의 반복(516))을 가능케 할 수 있다. 또한, 반응기 표면을 주기적으로 청소하기 위해 인 시튜 에칭 사이클이 채용되는 방식으로 반응기가 동작되는 경우, 처리(504)에 있어서, 처리된 반응공간 표면에 대해 기판 상에 선택적 증착(512)을 허용하는 사실에 의해서, 덜 자주, 보다 짧게, 또는 덜 거친 청소 사이클이 가능하다.
다양한 실시예에 적용한 바와 같이, 본 발명의 신규한 특징을 위에서 상세히 도시하고, 기술하고 나타내었으나, 도시한 반응기의 형태 또는 처리의 상세한 설명에서의 다양한 생략, 치환 및 변경은 본 발명의 정신을 벗어남이 없이 당업자에게 의해서 만들어질 수 있다. 본 발명의 범위는 상술한 설명에 의해서가 아니라 첨부한 특허청구의 범위에 의해서 나타난다. 특허청구범위의 의미와 동등한 범위 내에 들어 있는 모든 변화는 그들의 범위 내에 포함된다.

Claims (30)

  1. 기상 증착 반응기의 반응공간 표면을 처리하고 반응기를 작동하는 방법에 있어서,
    반응공간 표면을 처리약품으로 처리하여, 다수의 증착 반응물과의 반응에 대해서 반응공간 표면을 비활성화시키는 단계와;
    상기 처리 후에 기판을 반응공간 내로 장입하는 단계와;
    기판을 다수의 반응물에 노출시켜 기판 상에 층을 증착하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 증착단계는 처리하지 않은 반응공간 표면 상에서의 동일 조건 하의 증착과 비교하여, 비활성화된 반응공간 표면 상에 상당히 감소된 증착으로 기판 상에 층을 선택적으로 증착하는 것을 포함하는 방법.
  3. 제 2항에 있어서, 상기 증착단계는 선택적 원자층 증착공정을 실행하는 것을 포함하는 방법.
  4. 제 3항에 있어서, 상기 원자층 증착공정은 다수의 사이클을 포함하고, 각 사이클은:
    제 1반응물을 반응공간 내로 도입하고, 상기 제 1반응물의 적어도 일부가 기 판 상에 흡착되는 단계와;
    반응공간으로부터 여분의 제 1반응물을 제거하는 단계와;
    제 2반응가스를 반응공간 내로 도입하는 단계와;
    기판의 표면 상에 제 2반응가스로 제 1단일 물질층 및 제 1반응가스의 흡착부를 형성하는 단계와;
    반응공간으로부터 여분의 제 2반응물을 제거하는 단계를 포함하는 방법.
  5. 제 4항에 있어서, 각 사이클은 제 3반응물을 반응공간으로 도입하는 단계와 반응공간으로부터 여분의 제 3반응물을 제거하는 단계를 추가로 포함하는 방법.
  6. 제 2항에 있어서, 상기 증착단계는 선택적 화학 기상 증착 공정을 도입하는 것을 포함하는 방법.
  7. 제 1항에 있어서, 상기 처리단계는 반응공간을 통해서 인 시튜로 기상 처리약품을 흘려보내는 것을 포함하는 방법.
  8. 제 1항에 있어서, 상기 처리단계는 엑스 시튜로 반응기 부품을 처리약품에 노출시키는 것을 포함하는 방법.
  9. 제 8항에 있어서, 상기 처리 후와 장입 및 증착 전에 반응공간을 형성하기 위해 엑스 시튜로 처리된 반응기 부품을 조립하는 단계를 추가로 포함하는 방법.
  10. 제 1항에 있어서, 반응공간 표면과 처리약품 간의 반응을 최대화하기 위해서 반응 전에 반응공간 표면을 전처리하는 단계를 추가로 포함하는 방법.
  11. 제 10항에 있어서, 상기 전처리 단계는 처리약품에 의해 남겨진 보호층의 충전밀도를 향상시키기 위해 반응공간 표면 상의 반응 영역의 밀도를 증가시키는 것을 포함하는 방법.
  12. 제 11항에 있어서, 상기 전처리 단계는 반응공간 표면을 산소 함유 반응물에 노출시키는 것을 포함하는 방법.
  13. 제 1항에 있어서, 처리약품은 유기 분자이고 보호층은 자기 집적 단층인 방법.
  14. 제 13항에 있어서, 처리약품은 옥타데실트리클로로시레인을 포함하는 방법.
  15. 제 1항에 있어서, 처리약품은 하기 화학식 1 및 화학식 R7 pR8 qR9 rSiX4 -p-q- r 로 이루어지는 그룹으로부터 선택되는 것을 특징으로 하는 방법:
    Figure 112005063417820-PCT00003
    상기 식에서 R1 내지 R6은 각각 C1 내지 C20 알킬 및 C6 내지 C10 아릴로 이루어지는 그룹으로부터 각기 선택되고, R7 내지 R9는 각각 C1 내지 C6 알킬 및 C6 내지 C10 아릴로 이루어지는 그룹으로부터 각기 선택되고, p, q 및 r은 각각 0, 1, 2 또는 3(단, 1≤p+q+r≤3)이고, 각 X는 각각 F, Cl, 및 Br로 이루어지는 그룹으로부터 각기 선택된다.
  16. 제 1항에 있어서, 다수의 기판 상에 다수의 증착을 실행한 후에 반응공간 표면을 재처리하는 단계를 추가로 포함하는 방법.
  17. 반응공간을 규정하는 다수의 반응공간 표면과;
    상기 반응공간 내에 수용된 기판 지지 구조체와;
    반응공간을 기상 증착 공정에 적합한 기상 증착 반응물의 소스에 연결하는 다수의 공급라인을 포함하고,
    반응공간 표면의 적어도 일부는 기상 증착 공정에 대해 개량된 표면을 비활 성화하는 표면 개량을 포함하는 기상 증착 장치.
  18. 제 17항에 있어서, 상기 표면 개량은 보호층을 포함하는 장치.
  19. 제 18항에 있어서, 상기 보호층은 약 2이상의 단층의 두께를 갖는 흡착된 처리약품을 포함하는 장치.
  20. 제 18항에 있어서, 상기 보호층은 흡착된 유기 반응물을 포함하는 장치.
  21. 제 20항에 있어서, 상기 보호층은 자기 집적 단층인 장치.
  22. 제 18항에 있어서, 상기 보호층은 기상 증착 공정에 노출된 소수성 표면을 제공하는 장치.
  23. 제 17항에 있어서, 상기 표면 개량은 개량된 반응공간 표면에 비례하여 반도체 기판에 대한 기상 증착공정이 선택적으로 이루어지도록 하는 장치.
  24. 제 17항에 있어서, 원자층 증착은 기상 증착 반응물의 교대 및 반복 펄스에 의해서 형성되는 장치.
  25. 제 17항에 있어서, 처리 반응물로부터 반응 공간까지 이르는 주입라인을 추가로 포함하고, 상기 처리 반응물은 개량된 반응공간 표면에 대한 표면 개량을 제공하는 장치.
  26. 제 25항에 있어서, 상기 처리 반응물은 유기 규소 전구체인 장치.
  27. 제 25항에 있어서, 전처리 반응물로부터 반응 공간까지 이르는 주입라인을 추가로 포함하고, 상기 전처리 반응물은 적어도 일부의 표면이 처리 반응물과 더 반응하도록 하는 장치.
  28. 제 21항에 있어서, 상기 전처리 반응물은 산소 함유 반응물을 포함하는 장치.
  29. 제 12항에 있어서, 상기 표면 개량은 반응공간 표면을 코팅하는 영역이며, 이 영역은 -OH, 질소, 수소 및 할라이드 표면기로 이루어지는 그룹으로부터 선택되는 반응영역과, 이 반응영역을 점유하는 비반응성 표면 종단부를 포함하는 장치.
  30. 반응공간의 일부를 형성하는 원자층 증착(ALD)반응기의 처리된 콤포넌트로서, 그 위에 보호층을 갖는 표면의 일부를 포함하고, 상기 보호층은 약 5이하의 단분자층 두께를 갖는 흡착된 처리약품을 포함하고, 보호층은 ALD 증착 반응물과의 반응을 억제하도록 구성된 콤포넌트.
KR1020057020924A 2003-05-09 2004-04-29 화학적 비활성화를 통한 반응기 표면의 패시베이션 KR101090895B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US46918103P 2003-05-09 2003-05-09
US60/469,181 2003-05-09
PCT/US2004/013166 WO2004102648A2 (en) 2003-05-09 2004-04-29 Reactor surface passivation through chemical deactivation

Publications (2)

Publication Number Publication Date
KR20060004976A true KR20060004976A (ko) 2006-01-16
KR101090895B1 KR101090895B1 (ko) 2011-12-08

Family

ID=33452263

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057020924A KR101090895B1 (ko) 2003-05-09 2004-04-29 화학적 비활성화를 통한 반응기 표면의 패시베이션

Country Status (6)

Country Link
US (2) US7118779B2 (ko)
EP (1) EP1623454A2 (ko)
JP (1) JP4959333B2 (ko)
KR (1) KR101090895B1 (ko)
TW (1) TWI394862B (ko)
WO (1) WO2004102648A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101028605B1 (ko) * 2006-04-05 2011-04-11 도쿄엘렉트론가부시키가이샤 처리 장치
KR20150007989A (ko) * 2013-07-12 2015-01-21 에이에스엠 아이피 홀딩 비.브이. 반응 챔버에서 아웃가싱을 감소시키는 방법 및 시스템

Families Citing this family (468)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
NO20033207D0 (no) * 2002-07-31 2003-07-15 Per Kristian Egeberg Fremgangsmåte og reaktor for fremstilling av höyrent silisium, samt anvendelse av fremgangsmåten og reaktoren ved fremstilling av höyrentsilisium fra uraffinert silisium
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) * 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
FI117243B (fi) * 2004-06-24 2006-08-15 Beneq Oy Menetelmä materiaalin seostamiseksi ja seostettu materiaali
FI117247B (fi) * 2004-06-24 2006-08-15 Beneq Oy Materiaalin seostaminen selektiivisesti
US20070218290A1 (en) * 2004-06-24 2007-09-20 Beneq Oy Method for Doping Material and Doped Material
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
WO2007016013A2 (en) * 2005-07-27 2007-02-08 Applied Materials, Inc. Unique passivation technique for a cvd blocker plate to prevent particle formation
JP4656147B2 (ja) * 2005-09-13 2011-03-23 日本電気株式会社 多孔質絶縁膜の形成方法および半導体装置
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7595271B2 (en) * 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US8357434B1 (en) * 2005-12-13 2013-01-22 Lam Research Corporation Apparatus for the deposition of a conformal film on a substrate and methods therefor
US7863198B2 (en) * 2006-05-18 2011-01-04 Micron Technology, Inc. Method and device to vary growth rate of thin films over semiconductor structures
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
KR20110039482A (ko) * 2008-08-14 2011-04-18 비덱스 에이/에스 보청기 부품 코팅 방법 및 코팅된 부품을 포함하는 보청기
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
JP5088331B2 (ja) * 2009-01-26 2012-12-05 東京エレクトロン株式会社 熱処理装置用の構成部品及び熱処理装置
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9481937B2 (en) 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5359642B2 (ja) * 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20140242811A1 (en) * 2013-02-27 2014-08-28 United Microelectronics Corp. Atomic layer deposition method
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP2984206A4 (en) * 2013-04-10 2017-01-25 Picosun Oy Protecting a target pump interior with an ald coating
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015132443A1 (en) * 2014-03-03 2015-09-11 Picosun Oy Protecting an interior of a gas container with an ald coating
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
KR20160103611A (ko) * 2015-02-24 2016-09-02 삼성디스플레이 주식회사 증착 장치
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN117334560A (zh) 2016-03-03 2024-01-02 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
JP2019515493A (ja) 2016-04-25 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子層処理のための化学物質供給チャンバ
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11056527B2 (en) * 2016-05-04 2021-07-06 General Electric Company Metal oxide interface passivation for photon counting devices
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6804250B2 (ja) * 2016-09-23 2020-12-23 東京エレクトロン株式会社 減圧乾燥装置、および減圧乾燥方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10752991B2 (en) 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI804369B (zh) * 2017-07-14 2023-06-01 荷蘭商Asm Ip控股公司 用於將自組裝單層沈積於基板之表面上的設備
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11018048B2 (en) 2017-11-21 2021-05-25 Watlow Electric Manufacturing Company Ceramic pedestal having atomic protective layer
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7101551B2 (ja) 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7109397B2 (ja) 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
JP2020147792A (ja) 2019-03-13 2020-09-17 東京エレクトロン株式会社 成膜方法および成膜装置
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP7118023B2 (ja) 2019-03-25 2022-08-15 東京エレクトロン株式会社 成膜方法および成膜装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
DE102019109987A1 (de) * 2019-04-16 2020-10-22 Aixtron Se Verfahren zum Konditionieren einer Substratbehandlungseinrichtung und eine diesbezügliche Vorrichtung
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021044534A (ja) 2019-09-05 2021-03-18 東京エレクトロン株式会社 成膜方法
JP7262354B2 (ja) 2019-09-24 2023-04-21 東京エレクトロン株式会社 成膜方法
JP2021052070A (ja) 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
JP2021057563A (ja) 2019-09-24 2021-04-08 東京エレクトロン株式会社 成膜方法
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112553592B (zh) * 2019-09-25 2023-03-31 中微半导体设备(上海)股份有限公司 一种利用ald工艺对静电吸盘进行处理的方法
CN112553597A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 采用ald技术在输气管道内壁生成抗腐蚀涂层的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070109A (ko) * 2019-12-04 2021-06-14 주성엔지니어링(주) 기판 처리 장치, 기판 처리 장치 마련 방법 및 기판 처리 방법
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
JP2022091523A (ja) 2020-12-09 2022-06-21 東京エレクトロン株式会社 成膜方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022137698A (ja) 2021-03-09 2022-09-22 東京エレクトロン株式会社 成膜方法および成膜システム
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
JP2023002200A (ja) 2021-06-22 2023-01-10 東京エレクトロン株式会社 成膜方法及び成膜装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US4539061A (en) * 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
FI845161A0 (fi) * 1984-12-28 1984-12-28 Ksv Chemicals Oy Ytbehandlingsmedel.
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JP3590416B2 (ja) 1993-11-29 2004-11-17 アネルバ株式会社 薄膜形成方法および薄膜形成装置
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
JP3622034B2 (ja) * 1995-01-20 2005-02-23 株式会社ネオス 真空蒸着膜形成室の表面処理方法
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US5766698A (en) * 1996-11-25 1998-06-16 Nanofilm Corporation Method for modifying surfaces with ultra thin films
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6316052B1 (en) * 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6537461B1 (en) 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
JP2001326337A (ja) * 2000-05-16 2001-11-22 Fujitsu Ltd 誘電体膜の製造方法、キャパシタの製造方法および半導体装置の製造方法
US6649408B2 (en) * 2000-03-24 2003-11-18 George Mason University Microdroplet cell culture technique
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US6468903B2 (en) * 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
US20020162507A1 (en) * 2001-05-01 2002-11-07 Applied Materials, Inc. Self-renewing coating for plasma enhanced processing systems
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101028605B1 (ko) * 2006-04-05 2011-04-11 도쿄엘렉트론가부시키가이샤 처리 장치
KR20150007989A (ko) * 2013-07-12 2015-01-21 에이에스엠 아이피 홀딩 비.브이. 반응 챔버에서 아웃가싱을 감소시키는 방법 및 시스템

Also Published As

Publication number Publication date
KR101090895B1 (ko) 2011-12-08
TW200502427A (en) 2005-01-16
US20070084404A1 (en) 2007-04-19
WO2004102648A2 (en) 2004-11-25
US7799135B2 (en) 2010-09-21
WO2004102648A3 (en) 2005-03-24
US7118779B2 (en) 2006-10-10
TWI394862B (zh) 2013-05-01
US20040221807A1 (en) 2004-11-11
EP1623454A2 (en) 2006-02-08
JP4959333B2 (ja) 2012-06-20
JP2007501902A (ja) 2007-02-01

Similar Documents

Publication Publication Date Title
KR101090895B1 (ko) 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) Reactor surface passivation through chemical deactivation
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US8293658B2 (en) Reactive site deactivation against vapor deposition
US7247581B2 (en) Methods for treating pluralities of discrete semiconductor substrates
US7378354B2 (en) Atomic layer deposition methods
JP3798248B2 (ja) ラジカルを利用した連続cvd
US20050136657A1 (en) Film-formation method for semiconductor process
US7393783B2 (en) Methods of forming metal-containing structures
US20120100308A1 (en) Ternary metal alloys with tunable stoichiometries
JP2004165668A (ja) ハフニウム化合物を利用した薄膜蒸着方法
Gschwandtner Production worthy ALD in batch reactors

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141126

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151030

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171027

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 9