US20140216341A1 - Chemical vapor deposition reactor - Google Patents

Chemical vapor deposition reactor Download PDF

Info

Publication number
US20140216341A1
US20140216341A1 US14/186,089 US201414186089A US2014216341A1 US 20140216341 A1 US20140216341 A1 US 20140216341A1 US 201414186089 A US201414186089 A US 201414186089A US 2014216341 A1 US2014216341 A1 US 2014216341A1
Authority
US
United States
Prior art keywords
wafer carrier
vapor deposition
chemical vapor
flow guide
deposition reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/186,089
Inventor
Michael J. Begarney
Frank J. Campanale
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Valence Process Equipment Inc
Original Assignee
Valence Process Equipment Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Valence Process Equipment Inc filed Critical Valence Process Equipment Inc
Priority to US14/186,089 priority Critical patent/US20140216341A1/en
Assigned to VALENCE PROCESS EQUIPMENT, INC. reassignment VALENCE PROCESS EQUIPMENT, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CAMPANALE, FRANK J, BEGARNEY, MICHAEL J
Publication of US20140216341A1 publication Critical patent/US20140216341A1/en
Assigned to MARCUS, G. ROBERT reassignment MARCUS, G. ROBERT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VALENCE PROCESS EQUIPMENT, INC.
Assigned to MARCUS, G. ROBERT reassignment MARCUS, G. ROBERT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VALENCE PROCESS EQUIPMENT, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/9247With closure

Definitions

  • the invention pertains to chemical vapor deposition (“CVD”) reactors, including metalorganic chemical vapor deposition (“MOCVD”) reactors.
  • CVD chemical vapor deposition
  • MOCVD metalorganic chemical vapor deposition
  • Chemical vapor deposition (“CVD”) reactors and in particular metalorganic chemical vapor deposition (“MOCVD”) reactors are used to deposit solid material layers onto a wafer.
  • Such materials typically include compounds of the group III column and group V column elements of the periodic table (referred to as III-V material, but also include “II-VI materials” as well).
  • Materials such as silicon (Si), silicon carbide (SiC), zinc oxide (ZnO) and others are also deposited on wafers or other surfaces using these reactors.
  • these reactors are used in the manufacture of solid-state (semiconductor) microelectronic devices, optical devices and photovoltaic (solar) devices, and other electronic/opto-electronic materials and devices.
  • a flat-cylindrical wafer carrier with one or more wafers loaded in shallow pockets on the upper surface of the wafer carrier is heated to the required temperature (450-1400° C.) by a heater assembly located (typically) below the lower surface.
  • a continuously-supplied gas mixture is directed to flow over the surface of the heated wafer carrier and wafers.
  • the gas mixture is predominantly (about 75-95%) a carrier gas, which is an appropriate inert gas (typically hydrogen or nitrogen) that functions to define the general flow pattern in the reactor and to appropriately dilute the reactant gases.
  • the remainder of the gas mixture is comprised of group V reactant gases (about 4-23%), group III reactant vapors (about 1-2%), and dopant gases or vapors (trace levels).
  • the group V gases decompose immediately above and on the surface of the heated wafer carrier and wafers, allowing atoms of the central group V element to incorporate into the material layer being deposited (both on the wafers and on the surface of the wafer carrier).
  • the group III gases similarly decompose to provide atoms of the group III element.
  • the dopant gases similarly decompose to provide atoms which function to alter the electrical conductivity characteristics of the semiconductor material.
  • the gas mixture (now also containing reactant by-products) exits the reactor through one or more exhaust ports.
  • a vacuum pump is typically used to draw the gas mixture through the reactor, particularly because most materials deposit optimally at pressures lower than atmospheric pressure.
  • the gas mixture After passing over the heated wafer carrier, the gas mixture begins to cool rapidly, which results in rapid condensation of byproducts into the solid state. These tend to coat the interior surfaces of the reactor chamber (below the wafer carrier) and exhaust tubing.
  • the wafer carrier is typically rotated from 100 to over 1000 RPM to aid in uniformly distributing the flowing gas mixture, and to reduce the thickness of the mass-transport boundary layer, which increases the efficiency of reactant usage as well as byproduct removal.
  • the reactants are not supplied continuously during the batch run.
  • the typical batch run is conducted as follows. During the initial stage of the run, only the carrier gas is supplied at a low flowrate. Then, in unison, the wafer carrier rotation is gradually increased to the desired value, the wafer carrier temperature is increased to the desired value, and the carrier gas flowrate is increased to the desired value.
  • the group V reactant gas is typically switched into the reactor first (at a specific temperature level) to stabilize the surface of the substrate wafers (prevent desorption of group V atoms), and then the group III and dopant gases are switched in to effect “growth” of material layers (material growth only occurs when at least one group V and at least one group III source are switched to the reactor). Brief pauses where no group III or dopant gases are supplied to the reactor may occur, but at least one group V gas is typically supplied during the entire growth stage (while temperature is above about 350-400° C.).
  • the temperature is gradually decreased. Once the temperature is below about 350° C., the group V reactant gas is switched off, and the rotation, temperature and carrier gas flowrate are decreased to the starting levels.
  • the wafers are then removed from the wafer carrier, either by opening the reactor chamber top or by transfer of the entire wafer carrier out of the reactor chamber by mechanical means. Depending on the material being deposited, the same wafer carrier may be used for many batch runs, or for only one run, before the excess material deposited on the exposed top surface must be cleaned off.
  • One design uses a tall cylindrical vessel with a gas flow injection top lid that attempts to spread flow evenly over the entire lid area. To a limited extent, the vertical separation prevents byproduct material deposition on the internal lid surface through which the gas flows enter.
  • the lid design has disadvantages that include: ineffective isolation of the multiple gas spreading “zones” in the lid, resulting in pre-reaction and byproduct material deposition; ineffective spreading of gas flows over the large zone areas from supply gas tubes, resulting in non-optimal material characteristics as well as additional material deposition on the internal lid surface; and the high flowrates of gas required to produce a relatively uniform outlet flow from the lid through the large chamber volume.
  • a second design uses a short cylindrical vessel with a gas flow injection top lid that is closely spaced to the (heated) deposition surface.
  • the close spacing is effective in minimizing the reactor volume and providing effective contacting of the gas to the deposition surface, and the gas chamber isolation is effective.
  • the close spacing results in byproduct material deposition on the internal lid surface and requires cleaning after nearly every process run, which requires greater maintenance time and costs and less productive time.
  • the cost to manufacture the top lid is very high due to the complexity of the lid and the large area.
  • the first design has a very high operating cost and produces a product of lower quality and performance.
  • the second design has a relatively lower operating cost, but higher system maintenance requirements.
  • a CVD reactor system that has a lower production price and operating costs is desirable.
  • a CVD reactor such as a MOCVD reactor conducting metalorganic chemical vapor deposition of epitaxial layers.
  • the CVD or MOCVD reactor generally comprises one or more of a flow flange assembly, adjustable proportional flow injector assembly, a chamber assembly, and a multi-segment center rotation shaft.
  • the CVD reactor provides a novel geometry to specific components that function to reduce the gas usage while also improving the performance of the deposition.
  • a number of CVD reactor components with novel geometries are described.
  • new components are described that address the problems of conventional CVD reactors.
  • the chamber top and side wall has a geometry that is significantly different from conventional components.
  • the top and side walls form a flared or curved conical surface.
  • the exit region of the reactor also has an improved geometry that includes a tapered or sloped surface.
  • a novel gas injector is included in one embodiment of the invention to further improve on performance and economy.
  • the inventive design provides a number of advantages.
  • the CVD reactor reduces the volume of the reactor, provides a flow-guiding surface which directs entering gas flows to intimately contact a deposition surface, provides an additional flow-guiding surface to prevent back-entry of spent reaction gas into the main reaction volume, provides highly uniform fluid cooling or temperature control of key internal reactor surface, and provides means of reducing heat losses from the deposition surface.
  • the reactor design addresses a number of the problems with existing designs including but not limited to the following: (1) high/inefficient gas and chemicals usage, (2) non-uniform distribution of entering gas flows, (3) high manufacturing costs of equipment, and (4) deposition of problematic byproduct materials on internal reactor surfaces.
  • the result is advantages of lower operating cost, improved characteristics of deposited material layers, and lower machine maintenance requirements.
  • the flow flange assembly comprises a three-dimensional tapered or flared cone upper surface and thin fluid gap immediately behind the surface, in contrast to vertical cylindrical walls of other designs.
  • the design reduces reactor volume and gas usage, effectively guides gas towards deposition surface for more efficient chemicals usage, and provides for approximately uniform radial velocity for improved deposition uniformity.
  • the adjustable proportional flow injector has several features including smaller area than deposition surface, isolated flow zones, a single adjustable flow zone with no separation barriers, and uniform cooling fluid flow profile. These features address several problems in prior art injectors by providing a lower gas flowrate, lower manufacturing cost, no zone cross leak and resulting pre-reaction and by-product material deposition, and improved uniformity of deposited material.
  • the adjustable proportional flow injector assembly comprises one or more gas chambers for separately maintaining one or more reactant gas flows and a fluid cavity for regulation of gas temperature prior to injection of the gas into the reactor chamber.
  • the adjustable proportional flow injector assembly receives one or more gas inlet streams from supply tubes and spreads/diffuses these flows for a uniform outlet flow velocity, while keeping the gas streams separated until they exit, and also regulating the temperature of the gas as the gas exits the adjustable proportional flow injector assembly.
  • the chamber assembly generally comprises a conical or sloped lower flow guide.
  • the lower flow guide prevents gas recirculation back into the reaction zone, improves smoothness of flow from the outer edge of the wafer carrier into the exhaust ports for a more stable overall reactor flow profile, reduces heat losses at the outer edge of the wafer carrier for better temperature uniformity and improved material characteristics.
  • An embodiment of the wafer carrier has a cylindrical plate made of high temperature resistant material that holds the substrate wafer(s) within the reactor volume, and, in embodiments of the invention, transfers heat received from the heater assembly to the wafers.
  • the center rotation shaft is generally in communication with the wafer carrier and causes rotational movement of the wafer carrier.
  • the center rotation shaft penetrates through the base plate center axis, usually in combination with a rotary vacuum feedthrough (such as a ferrofluid sealed type), and supports and rotates the wafer carrier within the reactor.
  • the reactor comprises a two-piece wafer carrier having a top and a bottom, the top having properties optimal for holding substrate wafers and the bottom having properties optimal for heat absorption.
  • a multi-segment center rotation shaft is provided in one embodiment.
  • the multi-segment shaft has two or more segments that may optionally be used in the reactor. At least one segment of the multi-segment shaft is made from a material having a low thermal conductivity.
  • the multi-segment shaft may have segment interfaces designed to have a high thermal transfer resistance, to reduce thermal losses from the wafer carrier.
  • the multi-segment shaft may generate additional heat near the center of the wafer carrier and provide a thermal barrier to heat losses from the water carrier and/or shaft.
  • FIG. 1 is a perspective view of one embodiment of the entire reactor chamber assembly.
  • FIG. 2 is a side view of one embodiment of the entire reactor chamber assembly.
  • FIGS. 3-5 show cross-sectional views of one embodiment of the entire reactor chamber assembly.
  • FIG. 6 shows a perspective view of one embodiment of the flow flange assembly.
  • FIG. 7 shows an exploded side view of one embodiment of the flow flange assembly.
  • FIG. 8 shows an exploded underside view of an embodiment of flow flange assembly.
  • FIGS. 9A-9C show three cross-sectional side views of an embodiment of the upper flow guide.
  • FIG. 10 shows a close up cross sectional view of an embodiment of the upper flow guide.
  • FIG. 11 shows a side view of an embodiment of the adjustable proportional flow injector assembly.
  • FIG. 12 shows an exploded side view of an embodiment of the adjustable proportional flow injector assembly.
  • FIGS. 13-15 show three cross-sectional views of an embodiment of the adjustable proportional flow injector assembly.
  • FIGS. 16A and 16B show a top interior view of an embodiment of the adjustable proportional flow injector gas chamber machining.
  • FIGS. 17A and 17B show a bottom view of an embodiment of the adjustable proportional flow injector assembly.
  • FIG. 18 shows a close up cross-sectional view of the dual o-ring seal of the adjustable proportional flow injector assembly sealed to a flow flange assembly.
  • FIG. 19 shows a perspective view of an embodiment of the chamber assembly.
  • FIG. 20 shows a top view of an embodiment of the chamber assembly.
  • FIGS. 21A and 21B show two exploded views of an embodiment of the center rotation shaft assembly.
  • FIG. 22 shows a side view of an embodiment of the center rotation shaft assembly.
  • FIG. 23 shows a cross-sectional view of an embodiment of the center rotation shaft assembly.
  • FIG. 24 shows a close up cross-sectional view of an embodiment of the center rotation shaft assembly.
  • FIGS. 25A-25C show an alternate embodiment of subassemblies of the gas chambers of the adjustable proportional flow injector assembly.
  • FIG. 1 illustrates a front perspective view of one embodiment of the entire reactor assembly 1 .
  • the entire reactor assembly 1 is comprised of three subassemblies that together form the entire reactor assembly 1 .
  • the three subassemblies are the flow flange assembly 3 , the adjustable proportional flow injector assembly 5 , and the chamber assembly 7 .
  • FIG. 2 illustrates a side view of the reactor assembly 1 as well as some of the individual components that are visible from the exterior of the reactor 1 . Those components are discussed in more detail below.
  • FIGS. 3-5 illustrate a cross sectional view of the entire reactor assembly 1 showing the interconnection of the three subassemblies, and a cross-sectional view of the individual components that make up the three subassemblies.
  • the flow flange assembly 3 As in FIGS. 1 and 2 , the flow flange assembly 3 , the adjustable proportional flow injector assembly 5 and the chamber assembly 7 are illustrated.
  • the individual components of the three subassemblies 3 , 5 , and 7 are also indicated and discussed in greater detail below.
  • FIGS. 6-10 and 18 show several views of one embodiment of flow flange assembly 3 .
  • the flow flange assembly 3 comprises a main flange body 30 and has an upper opening 31 which defines a mating port for the flow injector assembly 5 on the top and mates to the chamber assembly 7 on the bottom end (shown best in the cross section view of FIGS. 3-5 .)
  • the flow flange assembly 3 has an upper flow guide 32 , which, along with the flow injector and wafer carrier, defines the reactor volume 33 and the gas flow profile within the reactor volume, fitted within the main flange body 30 .
  • the upper flow guide 32 preferably has a three-dimensional tapered cone outward facing surface 34 (as opposed to vertical cylindrical walls of prior art designs).
  • the upper flow guide 32 is positioned and fits within the main flange body 30 (as best shown in FIGS. 7 and 8 .
  • the underside 35 of the main flange body 30 has a corresponding shape to receive the inward facing surface 36 of the upper flow guide 32 so that a thin fluid gap or cavity 37 is formed immediately behind the upper flow guide 32 , between the upper flow guide 32 and the main flange body 30 (best illustrated in FIGS. 8-10 ).
  • fluid cavity collection channels 41 , 42 two points here connect with the thin fluid cavity 37 through flow orifices 40 .
  • the geometry of the upper flow guide 32 minimizes reactor chamber volume, suppresses recirculation eddies within the reactor chamber volume 33 and provides for efficient contacting of the reactant gas with the wafer carrier surface 77 .
  • the upper flow guide 32 has a first (upper) diameter D- 1 substantially equal to the diameter of the adjustable proportional flow injector (APFI) 7 and second (lower) diameter D- 2 substantially equal to the diameter d 3 of the wafer carrier 76 (as shown in FIG. 20 ).
  • the first diameter D- 1 is smaller than the second diameter D- 2 .
  • the first diameter D- 1 preferably is from about 0.2 to 0.5 of the second diameter D- 2 .
  • the upper flow guide 32 is not strictly conical shaped, but rather curved as the guide extends downward and flares out as it approaches D- 2 .
  • the upper flow guide 32 creates a gas flow pattern where a uniformly distributed, downward-flowing gas stream is directed towards the wafer carrier 76 , but the gas stream is also turned laterally and expanded, so that a smaller diameter flow injector 5 can be used to uniformly distribute flow over a substantially larger wafer carrier 76 , without the occurrence of recirculation of gas within the reactor chamber volume 33 .
  • the curved or flared profile of the upper flow guide 32 provides approximately equal radial gas velocity.
  • An upper flow guide 32 with this geometry is alternately referred as an expanding cone upper flow guide 32 .
  • the gas must cross a continuously increasing cross sectional area (which increases with radius for cylindrical geometries), and as a result, the flow velocity must decrease.
  • the height H- 1 of the containing geometry may be gradually reduced, so that the cross sectional area (product of circumference multiplied by height) remains substantially constant, which counteracts the increase of the circumference with radius.
  • the flow flange assembly 3 preferably has a fluid gap 37 positioned directly behind the upper flow guide 32 (between the upper flow guide 32 and the main flange body 30 ).
  • the fluid gap 37 is relatively thin (about 0.1 inches or less) which, for fluid flow rates of approximately 1 gallon per minute and for fluids having density and viscosity values within an order of magnitude of water, will result in a Reynold's number value of less than 3200, which is indicative of laminar flow within the fluid gap and efficient usage of fluid. This configuration results in reduced usage of fluid and/or reduces the capacity of a fluid recirculator (if a reservoir/recirculator heat exchanger system is to be employed).
  • the flow flange assembly 3 may further comprise bottom/outer to top/inner flow through the fluid gap 37 for air removal and counter-flow heat exchange. That is, fluid flows in a reverse direction through the fluid gap from the direction the gas is flowing in the reactor volume.
  • This type of flow path through the fluid gap is achieved in one embodiment from a supply channel 41 , optionally down through one or more supply conduits (not shown).
  • Each supply channel 41 has one or more flow restricting orifices 40 proximate to the end of each supply channel 41 .
  • the flow restrictive orifices 40 sufficiently restrict the flow such that an equal flow rate of fluid passes through each supply channel, immediately prior to entering the fluid gap 37 , producing a uniform flow delivery around the outer circumference of the fluid gap 37 .
  • Fluid flows radially inward though the fluid gap 37 , and then passes through a second set of flow restricting orifices 40 within that transfers the fluid to a return channel 42 (optionally via one or more return conduits (not shown). Fluid is supplied via supply channel inlet tube 45 and returned through a fluid outlet tube 46 .
  • the flow characteristics of the fluid within the fluid gap 37 result in improved temperature uniformity within the reactor chamber volume 33 , which improves the uniformity of the gas flow profile and deposition uniformity.
  • the bottom/outer to top/inner flow pattern in the fluid gap 37 results in counter-flow heat exchange and effective removal of air from the gap 37 .
  • a gap 43 between upper flow guide 32 at the outermost diameter of the upper flow guide D- 2 (i.e. at the end of the upper flow guide proximate to the wafer carrier 76 ) and wafer carrier upper surface 77 at the outermost diameter d 3 of the wafer carrier 76 generally inhibits or prevents recirculation of ejected gas above the wafer carrier 76 .
  • the wafer carrier 76 rests on the top of a center rotation shaft 75 .
  • the upper flow guide 32 outer diameter D- 2 is about equal to that of the wafer carrier d 3 where the upper flow guide 32 is closest to the wafer carrier 76 .
  • the gap 43 facilitates the inhibition or prohibition of recirculation of the ejected gas within the reactor chamber volume 33 .
  • the gap may have a dimension H- 2 of about 1.00 inch or less, such as about 0.25 inch or less.
  • the gas flowing downward from the adjustable proportional flow injector assembly 5 turns laterally within the reactor chamber volume 33 and flows radially outward. When it reaches the gap 43 , the gas achieves a maximum flow velocity, and once past the gap 43 , the gas begins to expand and decelerate in an exhaust collection zone 44 that is proximate to the gap 43 , thereby preventing backward recirculation of the spent gas mixture, (i.e. the gas which has moved away from the reaction area at and above the wafer carrier 76 ).
  • the reactor 1 with an expanding cone upper flow guide 32 also incorporates a lower flow guide 72 (discussed in more detail below).
  • the lower flow guide 72 prevents gas recirculation back into the reaction zone, improves smoothness of flow from outer edge of wafer carrier into exhaust ports for more stable overall reactor flow profile, and reduces heat losses at outer edge of wafer carrier 76 for better temperature uniformity and improved material characteristics.
  • the adjustable proportional flow injector assembly 5 (hereinafter “APFI 5 ”) in an embodiment of the invention is shown particularly in FIGS. 11-18 and 25 .
  • the adjustable proportional flow injection is a flow injector that receives multiple gas inlet streams from supply tubes and spreads or diffuses these flows for a uniform outlet flow velocity, while keeping the gas streams separated until they exit.
  • the APFI 5 also regulates the temperature of the gases as they exit the adjustable proportional flow injector.
  • the APFI 5 is typically cylindrical in shape (circular area and vertical height) and fits within the flow flange assembly 3 .
  • a cylindrical APFI is shown in the figures however, the APFI can be made in any shape and the exact shape will generally be dictated by the shape (area) of the upper opening 31 into which it is being mated. For example, if the upper opening 31 has a square or rectangular shape, then the APFI will have a corresponding square or rectangular shape so that it can be mated.
  • the adjustable proportional flow injector assembly 5 generally comprises a support flange 51 , which provides structural integrity for the components mated to the support flange 51 and gas chamber inlet tubes or ports 54 that penetrate through the support flange 51 .
  • the support flange 51 further provides for mating the entire adjustable proportional flow injector assembly 5 to a main flange body 30 .
  • the APFI 5 includes one or more gas chambers 52 .
  • one or more of the gas chambers 50 may be machined into a gas chamber machining 52 and are formed from a plurality of gas chamber top walls or surface 57 and gas chamber bottom walls or surface 58 .
  • the gas chamber top wall 57 can be machined to form different zones as illustrated in the top views FIGS. 16 and 17 .
  • the gas chambers 50 are separated from the other gas chambers 50 by gas chamber vertical walls 59 that extend from the gas chamber top walls 57 to the gas chamber bottom walls 58 thereby forming the gas chambers 50 .
  • the one or more gas inlets 54 which may be incorporated into the gas chamber top walls 57 , deliver gas to the one or more gas chambers 50 of the adjustable proportional flow injector 5 , such as in a vertical direction (i.e. about perpendicular to the gas chamber top walls 57 and gas chamber bottom walls 58 ).
  • Each gas chamber 50 may receive a different gas stream and one or more of these gas chambers may spread or diffuse the gas and keep a first gas stream separate from other gas streams or each gas stream separate from another, and create a uniform flow velocity over a specific outlet surface area. Additionally, each gas chamber 50 may be configured in the same shape or different shape as the other gas chambers 50 .
  • the support flange 51 is removed from the figure
  • there is an outer gas chamber 50 a and four intermediate gas chambers 50 b and 50 c , and an inner gas chamber 50 d .
  • the gas chamber 50 b receives Group III reactants and intermediate gas chambers 50 c receive group V reactants.
  • the chambers 50 a - d are separated by the vertical walls 59 , the gas chamber top walls 57 (not shown) and the gas chamber bottom walls 58 .
  • the APFI 5 may also include a fluid cavity 60 , which is located below the one or more gas chambers 50 .
  • the fluid cavity 60 may be formed by the mating of a fluid cavity machining 53 to the gas chamber machining 52 .
  • FIG. 17 shows the bottom view of an embodiment of the adjustable proportional flow injector assembly 5 , showing the bottom face of the fluid cavity machining 53 .
  • Gas chamber outlets 61 may extend or penetrate from the bottom wall 58 of a gas chamber through the fluid cavity 60 , such as through conduit tubes 63 , into the reactor chamber volume 33 .
  • the conduit tubes 63 may have the same or different inner diameters and same or different outer diameters.
  • the fluid cavity 60 has a fluid cavity outlet 66 positioned at about the center of the fluid cavity 60 connected to a fluid cavity outlet tube 67 . Additionally, fluid cavity inlets 68 are provided through fluid cavity inlet tubes 69 towards the periphery of the fluid cavity 60 .
  • the fluid cavity outlet 68 is positioned inside the circumference of the diffuser 65 , while the fluid cavity inlets 68 are positioned outside of the circumference of the diffuser 65 .
  • the adjustable proportional flow injector assembly 5 may optionally have one or more of the following features.
  • the gas outlet apertures 61 are preferably a smaller size than the gas inlets 54 (for example there may be from about 100 to about 10,000 gas outlet apertures).
  • the number of gas outlet apertures 61 and the inside diameter and length of the conduit tubes 63 extending through the fluid cavity 60 depends on the specific gas composition, flowrate, temperature and pressure and are also limited by the total surface area of the bottom wall 58 of a gas chamber and by manufacturing capabilities and costs, the difficulty and cost increasing as the outside and inside diameters of the conduit tubes 63 decreases and as the spacing of adjacent gas outlet apertures 61 decreases.
  • the total cross sectional area of all of the conduit tubes 63 is preferably a factor between 2 and 6 times larger than the cross sectional area of the gas inlet 54 to a given gas chamber.
  • This arrangement accounts for the greater wall surface area and corresponding fluid shear and pressure drop of the smaller-diameter conduit tubes 63 compared to the gas inlet 54 , such that the pressure drop across the set of conduit tubes of a given gas chamber (that is, the pressure drop from the gas chamber to the reactor chamber volume 33 ) is preferably from several Torr to several tens of Torr.
  • the gas chamber upper walls 57 and gas chamber bottom walls may preferably be substantially parallel.
  • the upper walls/surface 57 of all gas chambers can be substantially co-planar they can alternatively be on different planes.
  • gas chamber bottom walls 58 of all gas chambers 50 can be co-planar or alternatively on different planes.
  • the adjustable proportional flow injector assembly 5 may optionally comprise one or more intermediate diffusing baffle plates 55 between and substantially parallel to the gas chamber upper walls 57 and the gas chamber bottom walls 58 .
  • an intermediate diffusing baffle plate 55 When an intermediate diffusing baffle plate 55 is used, an upper gas chamber section 50 a and a lower gas chamber section 50 b is formed in the gas chamber 50 comprising the intermediate diffusing baffle plates 55 .
  • the upper gas chamber section 50 a may be defined, generally, by the gas chamber upper wall 57 , an upper surface of the intermediate diffusing baffle plate 55 and any side wall(s) 59 and the lower gas chamber section 50 b may be defined generally by the gas chamber lower wall 58 , a lower surface of the intermediate diffusing baffle plate 55 and any side wall(s) 59 .
  • Gas outlet apertures 61 of each gas chamber 50 are joined to outlet conduits (preferably small diameter tubes) 63 penetrating through the fluid cavity 60 which may be attached to or otherwise joined to the fluid cavity machining 53 thereby forming a lower fluid cavity wall proximate to the lowermost side of which is a boundary surface of the reactor chamber volume 33 .
  • the outlet conduits 63 preferably have an aperture pattern matching that of the combined set of gas chamber outlet apertures 61 .
  • a further embodiment of the adjustable proportional flow injector assembly concerns a fluid temperature control zone with uniform, radial flow profile. Temperature regulating fluid, for example cooling fluid, flows into an outer distribution channel 62 .
  • the fluid cavity 60 has a fluid cavity diffuser 65 .
  • the fluid cavity diffuser 65 is preferably a thin, cylindrical sheet metal ring having a height slightly larger than the height of the fluid cavity 60 and is preferably as thin as possible.
  • the cylindrical sheet metal ring inserts into opposing circular grooves in the bottom surface of the gas chamber machining 53 and the upper surface of the fluid cavity machining 52 , the sum of the depth of these two grooves preferably being equal to the additional height of the flow diffusing barrier over that of the fluid cavity, so that fluid delivered to the fluid cavity 60 at multiple inlets 68 at the outermost periphery of the fluid cavity must immediately move tangentially before flowing through a plurality of preferably equally spaced small apertures 64 in the flow diffusing barrier 65 , resulting in a uniform flow distribution from the outermost periphery of the fluid cavity 60 radially inward towards the single outlet 66 at the center outlet 66 of the fluid cavity 60 .
  • the small apertures 64 act as flow restricting orifices, which sufficiently restrict flow so as to result in an equal flow through each aperture 64
  • FIG. 25( a - c ) illustrates an alternate method of fabricating the APFI. Not all APFI components previously described are shown.
  • components of the APFI can be assembled from interchangeable modules or subassemblies.
  • gas outlet aperture sub-assemblies 150 can be constructed from an upper plate 151 , a lower plate 152 , and multiple conduits 63 .
  • the upper plate 151 constitutes the bottom wall 58 of a gas chamber 50 described above.
  • the lower plate 152 constitutes a portion of the bottom wall 58 of the fluid cavity machining 53 previously described.
  • the gas chamber machining 52 is constructed to receive multiple gas outlet aperture sub-assemblies 150 , such that the upper surface 153 of the upper plate 151 mates flush to one or more lower surfaces 155 of gas chamber walls 59 previously described.
  • the seam between the upper plates 151 of adjacent gas outlet aperture sub-assemblies 150 falls along the centerline of a given lower surface 155 of a gas chamber wall 59 so that a seal may be formed that prevents any leakage between the fluid cavity 63 thus formed and any gas chamber 50 .
  • the seam between the lower plates 152 of adjacent gas outlet aperture sub-assemblies 150 and between the lower plate 152 of a given gas outlet aperture sub-assembly 150 and the lower fluid cavity wall 157 integral with that gas chamber machining 52 may be sealed to prevent any leakage between the fluid cavity 63 and the reactor chamber volume 33 .
  • it may be sealed in such a manner that the lower surface 154 of each gas outlet aperture sub-assembly 150 is flush with the lower surface 154 of all other gas outlet aperture sub-assemblies 150 and the lower surface 156 of the gas chamber machining, although this is not required.
  • Fluid is thus delivered into the fluid cavity 63 through multiple fluid cavity inlets 68 and exits through one or more fluid cavity outlets 66 , where the fluid cavity diffuser 65 (not shown) is positioned in a similar manner as previously described.
  • a further embodiment of the invention concerns methods for creating patterns of substantially equally spaced gas outlets in one or more radial patterns.
  • one or more patterns of circular holes are arranged such that the holes are equidistant from each other, such as in square or hexagonal patterns.
  • a method comprises distributing holes so that they are substantially equidistant from each other as well as area boundaries.
  • This method generally comprises the steps of (1) arranging a first set of holes on a first line adjacent and parallel to a first radial area boundary, with equal spacing between these holes in a radial direction, (2) determining the angle, with vertex at the center axis of the machining, between a first point on the first line at a first radial distance from the center axis and the corresponding second point on a second line adjacent and parallel to a second radial area boundary, (3) determining the length of the arc, with origin at the center of the gas chamber machining, between a first hole at a given radius lying adjacent to the first radial area boundary and the corresponding second hole at the same radius lying adjacent to the second corresponding radial area boundary, (4) dividing this arc length by the desired center-to-center hole spacing distance and (5) rounding the resulting number to the nearest integer.
  • Steps (2)-(5) are repeated for each hole comprising the set described in step (1).
  • This method produces a hole pattern with equal separation between radial sets of holes, and nearly equal separation of holes within each radial set of holes.
  • This method is particularly useful for producing substantially equidistant sets of holes in circular or semi-circular patterns over small areas, where irregularities in hole spacing are more significant than for patterns over large areas.
  • the reactors may also comprise a gas distribution zone having adjustability with no zone separating barriers (such as illustrated in FIG. 17 ).
  • the reactors comprise two or more gas inlet tubes 54 and a plurality of outlet holes 61 that geometrically function to produce an adjustable outlet flow pattern through the plurality of holes 61 . While not bound by theory, by increasing or decreasing the amount flow to one or more of the inlet tubes 54 , without having any discrete vertical separation wall 59 between any of the inlet tubes 54 , stagnation areas that would normally be produced by the area below the separation walls, which can have not outlet flow holes, are eliminated.
  • the adjustable proportional flow injector assembly 5 may further comprise one or more sealed chamber tops, such as one or more o-ring sealed chamber tops, for cleaning and/or baffle changes.
  • the gas chamber machining 52 includes o-ring grooves machined into the top surface of the vertical walls 59 separating the gas chambers, which eliminates the gas chamber zone upper walls 57 . This is because an o-ring lying along the upper surface of the vertical walls can seal directly to the lower surface of the support flange 51 or other single intermediate sealing surface (rather than a plurality of welded surfaces). This configuration allows the gas chambers to be opened and cleaned or inspected, as well as reducing the number of parts required.
  • the adjustable proportional flow injector assembly 5 comprises a dual o-ring seal with vacuum barrier zone, best illustrated in FIG. 18 .
  • Dual o-ring seal produced by o-rings 91 in o-ring grooves 92 in the gas chamber machining 52 and the fluid cavity machining 53 .
  • One o-ring 91 a is positioned between the gas chamber machining 52 and the main flange body 31 .
  • a second 91 b is positioned between the fluid cavity machining 53 and the main flange body 30 .
  • a vacuum cavity 93 is created between the APFI, the main flange body 31 , and the o-rings 91 .
  • a differential seal vacuum port tube 94 is included in the main flange body 31 to create and release the vacuum seal.
  • This configuration permits easy removal of the adjustable proportional flow injector 5 while negating gas molecule permeation of the o-ring elastomer material, due to the significantly lower vacuum levels produced in the volume in between the two o-ring seals than on either side of each seal.
  • the chamber assembly 7 has a reactor baseplate main body 70 .
  • the reactor baseplate main body is connected to a reactor jar top flange 100 via a reactor jar wall 101 .
  • the reactor jar top flange 100 mates with the main flange body 30 of the flow flange assembly 3 .
  • the baseplate main body 70 contains ports for a number of components useful in CVD reactors such as a center rotation shaft 75 (discussed in more detail below), base plate exhaust tubes 79 ; high current feedthrough 90 ; and rotary vacuum feedthrough housing 88 .
  • the chamber assembly 7 has components typically found in a CVD reactor such as a heater assembly comprising a heat source and heat reflecting shields for heating the wafer carrier 76 .
  • a heater assembly comprising a heat source and heat reflecting shields for heating the wafer carrier 76 .
  • one or more heating elements 83 are positioned under the wafer carrier 76 and one or more heat shields 84 are positioned under the heating elements 83 .
  • the heat source may be a filament for radiant heating or a copper tube for inductive heating, preferably arranged in a concentric circular pattern to match the circular area of the wafer carrier.
  • Other types of heater assemblies may be used for heating the wafer carrier 76 .
  • the chamber assembly 7 has a lower flow guide 72 .
  • the lower flow guide 72 has a frustoconical shape.
  • the conical shaped lower flow guide 74 has an inner diameter d- 1 and an outer diameter d- 2 .
  • the inner diameter d- 1 is slightly larger than outer diameter d 3 of the wafer carrier 76 , although the inner diameter d- 1 can be approximately the same, smaller or larger than the outer diameter d 3 of the wafer carrier 76 .
  • the lower flow guide 72 is aligned approximately with the top surface 77 of wafer carrier 76 .
  • the outer diameter d- 2 of the lower flow guide 72 is larger than the inner diameter d- 1 creating a sloping surface in the downward direction.
  • the inner diameter d- 1 is slightly larger than outer diameter d 3 of the wafer carrier 76 .
  • the spacing between the inner diameter d- 1 of the lower flow guide 72 and the outer diameter of the wafer carrier 76 forces the gas ejected from the gap 43 between the wafer carrier 76 and the upper flow guide 32 to expand gradually, and inhibits or prevents recirculation of the ejected gas below the outer edge of the wafer carrier 76 .
  • the inner diameter d- 1 of the lower flow guide and the outer diameter of the wafer carrier 76 are in close proximity to provide a narrow lower flow guide gap between the two, as the narrower the lower flow guide gap the more efficient ejection of the gas and greater the inhibition or prevention of the recirculation of gases within the reactor chamber volume 33 .
  • the lower flow guide 72 is fabricated from graphite.
  • the chamber assembly 7 may contain a lower flow guide reflector 74 .
  • the lower flow guide reflector 74 is positioned within the lower flow guide 72 and extending from the circumference of the wafer carrier 76 and angled in a downward direction.
  • the reflector 74 is constructed of a thin piece of metal, preferably molybdenum. The reflector 74 acts to reflects heat inward and helps keep the heat constant over the surface of the lower flow guide 72 .
  • the lower flow guide 72 may be constructed of one or more sections or pieces, such as a two-piece lower flow guide 72 . Due to the close spacing between the lower flow guide 72 and the wafer carrier 76 , and due to the high temperature the wafer carrier 76 reaches during processing, in an alternate embodiment, the lower flow guide 76 has a first piece that is immediately adjacent to the wafer carrier 76 fabricated from a material having a superior temperature tolerance and coefficient of thermal expansion about equal to or similar to that of the wafer carrier 76 material (typically graphite, sapphire or a refractory metal), and a second piece fabricated from a material that does not have such temperature tolerance or coefficient of thermal expansion, such as a material that is less expensive and more easily formed than the material that comprises the first piece.
  • the first piece is fabricated from graphite to provide the appropriate temperature tolerance and coefficient of thermal expansion match with the wafer carrier material.
  • the lower flow guide 72 may be in part or wholly an extension of the wafer carrier 76 extending from the diameter d 3 of the surface of the wafer carrier 76 that holds the wafer, i.e. an outer edge profile of the wafer carrier surface 77 that holds the wafers.
  • all or a portion of the lower flow guide 76 is an extension of the wafer carrier from the outer circumference of preferably the wafer carrier top surface 77 , or alternatively the lower surface 78 , or at some point along the circumference in between.
  • the lower flow guide 72 has a first section which is an extension of the wafer carrier 76 , such as within the first few centimeters from the narrow gap 40 between the wafer carrier outer diameter 76 and the upper flow guide 72 , and a second piece that is completely separate from the wafer carrier 76 and is formed as a separate piece adjacent to the first piece.
  • the wafer carrier 76 for the reactor 1 may be a conventional one piece structure, however, embodiments having alternative structures are within the scope of the invention.
  • the reactor may comprise a two-piece wafer carrier 76 comprising a removable top (i.e. platter or surface that holds the wafers) and a bottom.
  • the removable top may be made from a number of materials, preferably sapphire and bottom may comprise graphite and may further comprise a means for heating, such as RF heated (for inductive heating of bottom and conductive heating of removable top and any wafers on the surface of the removable top).
  • the two-piece wafer carrier can have the removable top replaced when necessary while the bottom can be reused.
  • a two-piece wafer carrier has a sapphire removable top for holding the wafers and a graphite bottom that supports the sapphire removable top.
  • the sapphire top is non-porous and will not degrade, which occurs with surfaces conventionally used, such as SiC encapsulant.
  • the sapphire removable top can also be cleaned more rigorously (such as a rapid wet chemical etch, which is not easily performed with the graphite wafer carriers).
  • the graphite bottom piece is a heat absorber for conductive heat transfer into the sapphire removable top and the wafers on the surface of the removable top, such as within wafer pockets that may be machined in an upper surface of the removable top.
  • the wafer carrier 76 is integral with (i.e. machined directly into) a portion of the center rotation shaft 75 , which shaft 75 extends downward from the center of a bottom surface 78 of the wafer carrier 76 .
  • the center shaft 75 (alternatively, the center rotation shaft 75 ) extends downward through a heating coil and is comprised of a material suitable for heating, for example a material suitable for induction heating. This center rotation 75 shaft can be heated just as the main portion of the wafer carrier 76 is, and provides a thermal barrier to the conductive heat losses that may occur with conventional supporting spindle shafts.
  • the center rotation shaft 75 for the wafer carrier 76 may be a conventional one piece structure; however, embodiments having alternative structures may be used.
  • a multi-segment shaft 75 for the rotating wafer carrier i.e. a shaft comprising one or more segments made from the same material or different material is used.
  • at least one segment will have a substantially lower thermal conductivity than the remaining shaft segment(s) used.
  • the multi-segment spindle is particularly useful in conjunction with radiant heaters although the invention is not necessarily limited in this regard.
  • a shaft upper segment 81 is directly in contact with the wafer carrier 76 .
  • the shaft upper segment 81 has a susceptor or flange 82 at the proximal end on which the bottom surface 78 of the wafer carrier 76 rests.
  • the upper segment is preferably fabricated from a material (such as alumina or sapphire) having a lower thermal conductivity than the one or more of the remaining segment(s) of the multi-segment shaft 75 . This selection of material produces the highest possible thermal transfer resistance.
  • Segment interfaces between the multi-segment center shaft 75 and the wafer carrier 76 can be designed with minimal surface to further enhance the thermal transfer resistance. These features improve the temperature uniformity near the center area of the wafer carrier, as well as reduce energy losses in operation of the reactor.
  • the segment in contact with the wafer carrier extends downward through an inductive heating coil.
  • the upper segment 81 is made of a material suitable for inductive heating.
  • the upper segment 81 of the multi-segment center shaft 75 is preferably constructed of graphite.
  • the multi-segment shaft 75 has a shaft lower segment 85 is constructed of a material that does not readily heat inductively (such as sapphire).
  • the shaft upper segment 81 and shaft lower segment 85 are connected via a spacer 86 that is, preferably, constructed from alumina.
  • the interfaces between the three (or more) segments preferably have minimal surface contact area to produce the highest possible thermal transfer resistance.
  • the surface area may be reduced by including machined recesses 87 in the segments at the point of interface (shown in FIG. 24 ); to create thin rails 96 around the circumference of the ends of the segments. Contact between the segments only occurs at the thin rails 96 as opposed to the entire area of the segment ends.
  • the segments are preferably secured by way of vented head cap screws 97 .

Abstract

A CVD reactor, such as a MOCVD reactor conducting metalorganic chemical vapor deposition of epitaxial layers, is provided. The CVD or MOCVD reactor generally comprises a flow flange assembly, adjustable proportional flow injector assembly, a chamber assembly, and a multi-segment center rotation shaft. The reactor provides a novel geometry to specific components that function to reduce the gas usage while also improving the performance of the deposition.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This is a divisional patent application of U.S. Ser. No. 12/248,167, filed on Oct. 9, 2008 which claims the benefit of U.S. Provisional Application No. 60/979,181, filed Oct. 11, 2007, the entirety of which is hereby incorporated by reference into this application.
  • FIELD OF THE INVENTION
  • The invention pertains to chemical vapor deposition (“CVD”) reactors, including metalorganic chemical vapor deposition (“MOCVD”) reactors.
  • DESCRIPTION OF THE RELATED ART
  • Chemical vapor deposition (“CVD”) reactors, and in particular metalorganic chemical vapor deposition (“MOCVD”) reactors are used to deposit solid material layers onto a wafer. Such materials typically include compounds of the group III column and group V column elements of the periodic table (referred to as III-V material, but also include “II-VI materials” as well). Materials such as silicon (Si), silicon carbide (SiC), zinc oxide (ZnO) and others are also deposited on wafers or other surfaces using these reactors. Commercially, these reactors are used in the manufacture of solid-state (semiconductor) microelectronic devices, optical devices and photovoltaic (solar) devices, and other electronic/opto-electronic materials and devices.
  • In operation, typically a flat-cylindrical wafer carrier with one or more wafers loaded in shallow pockets on the upper surface of the wafer carrier is heated to the required temperature (450-1400° C.) by a heater assembly located (typically) below the lower surface.
  • A continuously-supplied gas mixture is directed to flow over the surface of the heated wafer carrier and wafers. The gas mixture is predominantly (about 75-95%) a carrier gas, which is an appropriate inert gas (typically hydrogen or nitrogen) that functions to define the general flow pattern in the reactor and to appropriately dilute the reactant gases. The remainder of the gas mixture is comprised of group V reactant gases (about 4-23%), group III reactant vapors (about 1-2%), and dopant gases or vapors (trace levels).
  • The group V gases decompose immediately above and on the surface of the heated wafer carrier and wafers, allowing atoms of the central group V element to incorporate into the material layer being deposited (both on the wafers and on the surface of the wafer carrier). The group III gases similarly decompose to provide atoms of the group III element. The dopant gases similarly decompose to provide atoms which function to alter the electrical conductivity characteristics of the semiconductor material.
  • After flowing radially outward over the surface of the wafer carrier and wafers, the gas mixture (now also containing reactant by-products) exits the reactor through one or more exhaust ports. A vacuum pump is typically used to draw the gas mixture through the reactor, particularly because most materials deposit optimally at pressures lower than atmospheric pressure. After passing over the heated wafer carrier, the gas mixture begins to cool rapidly, which results in rapid condensation of byproducts into the solid state. These tend to coat the interior surfaces of the reactor chamber (below the wafer carrier) and exhaust tubing.
  • The wafer carrier is typically rotated from 100 to over 1000 RPM to aid in uniformly distributing the flowing gas mixture, and to reduce the thickness of the mass-transport boundary layer, which increases the efficiency of reactant usage as well as byproduct removal.
  • Material is deposited using this method in batches. The reactants are not supplied continuously during the batch run. The typical batch run is conducted as follows. During the initial stage of the run, only the carrier gas is supplied at a low flowrate. Then, in unison, the wafer carrier rotation is gradually increased to the desired value, the wafer carrier temperature is increased to the desired value, and the carrier gas flowrate is increased to the desired value. The group V reactant gas is typically switched into the reactor first (at a specific temperature level) to stabilize the surface of the substrate wafers (prevent desorption of group V atoms), and then the group III and dopant gases are switched in to effect “growth” of material layers (material growth only occurs when at least one group V and at least one group III source are switched to the reactor). Brief pauses where no group III or dopant gases are supplied to the reactor may occur, but at least one group V gas is typically supplied during the entire growth stage (while temperature is above about 350-400° C.).
  • Once all material layers have been grown, the temperature is gradually decreased. Once the temperature is below about 350° C., the group V reactant gas is switched off, and the rotation, temperature and carrier gas flowrate are decreased to the starting levels. The wafers are then removed from the wafer carrier, either by opening the reactor chamber top or by transfer of the entire wafer carrier out of the reactor chamber by mechanical means. Depending on the material being deposited, the same wafer carrier may be used for many batch runs, or for only one run, before the excess material deposited on the exposed top surface must be cleaned off.
  • There are a number of known MOCVD reactor systems used in the market currently. Each of these known MOCVD reactors suffers from deficiencies and disadvantages.
  • One design uses a tall cylindrical vessel with a gas flow injection top lid that attempts to spread flow evenly over the entire lid area. To a limited extent, the vertical separation prevents byproduct material deposition on the internal lid surface through which the gas flows enter. The lid design, however, has disadvantages that include: ineffective isolation of the multiple gas spreading “zones” in the lid, resulting in pre-reaction and byproduct material deposition; ineffective spreading of gas flows over the large zone areas from supply gas tubes, resulting in non-optimal material characteristics as well as additional material deposition on the internal lid surface; and the high flowrates of gas required to produce a relatively uniform outlet flow from the lid through the large chamber volume.
  • A second design uses a short cylindrical vessel with a gas flow injection top lid that is closely spaced to the (heated) deposition surface. The close spacing is effective in minimizing the reactor volume and providing effective contacting of the gas to the deposition surface, and the gas chamber isolation is effective. However, the close spacing results in byproduct material deposition on the internal lid surface and requires cleaning after nearly every process run, which requires greater maintenance time and costs and less productive time. In addition to high maintenance costs, the cost to manufacture the top lid is very high due to the complexity of the lid and the large area.
  • Both designs are expensive to use. The first design has a very high operating cost and produces a product of lower quality and performance. The second design has a relatively lower operating cost, but higher system maintenance requirements.
  • A CVD reactor system that has a lower production price and operating costs is desirable. A CVD reactor system with improved characteristics of deposited material, high uptime and high quality is desirable.
  • SUMMARY OF THE INVENTION
  • A CVD reactor, such as a MOCVD reactor conducting metalorganic chemical vapor deposition of epitaxial layers, is provided. The CVD or MOCVD reactor generally comprises one or more of a flow flange assembly, adjustable proportional flow injector assembly, a chamber assembly, and a multi-segment center rotation shaft.
  • The CVD reactor provides a novel geometry to specific components that function to reduce the gas usage while also improving the performance of the deposition. In one aspect, a number of CVD reactor components with novel geometries are described. In another aspect, new components are described that address the problems of conventional CVD reactors. For example, the chamber top and side wall has a geometry that is significantly different from conventional components. The top and side walls form a flared or curved conical surface. The exit region of the reactor also has an improved geometry that includes a tapered or sloped surface. A novel gas injector is included in one embodiment of the invention to further improve on performance and economy.
  • The inventive design provides a number of advantages. The CVD reactor reduces the volume of the reactor, provides a flow-guiding surface which directs entering gas flows to intimately contact a deposition surface, provides an additional flow-guiding surface to prevent back-entry of spent reaction gas into the main reaction volume, provides highly uniform fluid cooling or temperature control of key internal reactor surface, and provides means of reducing heat losses from the deposition surface.
  • The reactor design addresses a number of the problems with existing designs including but not limited to the following: (1) high/inefficient gas and chemicals usage, (2) non-uniform distribution of entering gas flows, (3) high manufacturing costs of equipment, and (4) deposition of problematic byproduct materials on internal reactor surfaces. The result is advantages of lower operating cost, improved characteristics of deposited material layers, and lower machine maintenance requirements.
  • The flow flange assembly comprises a three-dimensional tapered or flared cone upper surface and thin fluid gap immediately behind the surface, in contrast to vertical cylindrical walls of other designs. The design reduces reactor volume and gas usage, effectively guides gas towards deposition surface for more efficient chemicals usage, and provides for approximately uniform radial velocity for improved deposition uniformity.
  • The adjustable proportional flow injector has several features including smaller area than deposition surface, isolated flow zones, a single adjustable flow zone with no separation barriers, and uniform cooling fluid flow profile. These features address several problems in prior art injectors by providing a lower gas flowrate, lower manufacturing cost, no zone cross leak and resulting pre-reaction and by-product material deposition, and improved uniformity of deposited material.
  • In one embodiment, the adjustable proportional flow injector assembly comprises one or more gas chambers for separately maintaining one or more reactant gas flows and a fluid cavity for regulation of gas temperature prior to injection of the gas into the reactor chamber. The adjustable proportional flow injector assembly receives one or more gas inlet streams from supply tubes and spreads/diffuses these flows for a uniform outlet flow velocity, while keeping the gas streams separated until they exit, and also regulating the temperature of the gas as the gas exits the adjustable proportional flow injector assembly.
  • In one embodiment, the chamber assembly generally comprises a conical or sloped lower flow guide. The lower flow guide prevents gas recirculation back into the reaction zone, improves smoothness of flow from the outer edge of the wafer carrier into the exhaust ports for a more stable overall reactor flow profile, reduces heat losses at the outer edge of the wafer carrier for better temperature uniformity and improved material characteristics.
  • An embodiment of the wafer carrier has a cylindrical plate made of high temperature resistant material that holds the substrate wafer(s) within the reactor volume, and, in embodiments of the invention, transfers heat received from the heater assembly to the wafers. The center rotation shaft is generally in communication with the wafer carrier and causes rotational movement of the wafer carrier. In an embodiment, the center rotation shaft penetrates through the base plate center axis, usually in combination with a rotary vacuum feedthrough (such as a ferrofluid sealed type), and supports and rotates the wafer carrier within the reactor.
  • In a particular embodiment, the reactor comprises a two-piece wafer carrier having a top and a bottom, the top having properties optimal for holding substrate wafers and the bottom having properties optimal for heat absorption.
  • A multi-segment center rotation shaft is provided in one embodiment. The multi-segment shaft has two or more segments that may optionally be used in the reactor. At least one segment of the multi-segment shaft is made from a material having a low thermal conductivity. The multi-segment shaft may have segment interfaces designed to have a high thermal transfer resistance, to reduce thermal losses from the wafer carrier. The multi-segment shaft may generate additional heat near the center of the wafer carrier and provide a thermal barrier to heat losses from the water carrier and/or shaft.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The following is a general description of the drawings filed herewith.
  • FIG. 1 is a perspective view of one embodiment of the entire reactor chamber assembly.
  • FIG. 2 is a side view of one embodiment of the entire reactor chamber assembly.
  • FIGS. 3-5 show cross-sectional views of one embodiment of the entire reactor chamber assembly.
  • FIG. 6 shows a perspective view of one embodiment of the flow flange assembly.
  • FIG. 7 shows an exploded side view of one embodiment of the flow flange assembly.
  • FIG. 8 shows an exploded underside view of an embodiment of flow flange assembly.
  • FIGS. 9A-9C show three cross-sectional side views of an embodiment of the upper flow guide.
  • FIG. 10 shows a close up cross sectional view of an embodiment of the upper flow guide.
  • FIG. 11 shows a side view of an embodiment of the adjustable proportional flow injector assembly.
  • FIG. 12 shows an exploded side view of an embodiment of the adjustable proportional flow injector assembly.
  • FIGS. 13-15 show three cross-sectional views of an embodiment of the adjustable proportional flow injector assembly.
  • FIGS. 16A and 16B show a top interior view of an embodiment of the adjustable proportional flow injector gas chamber machining.
  • FIGS. 17A and 17B show a bottom view of an embodiment of the adjustable proportional flow injector assembly.
  • FIG. 18 shows a close up cross-sectional view of the dual o-ring seal of the adjustable proportional flow injector assembly sealed to a flow flange assembly.
  • FIG. 19 shows a perspective view of an embodiment of the chamber assembly.
  • FIG. 20 shows a top view of an embodiment of the chamber assembly.
  • FIGS. 21A and 21B show two exploded views of an embodiment of the center rotation shaft assembly.
  • FIG. 22 shows a side view of an embodiment of the center rotation shaft assembly.
  • FIG. 23 shows a cross-sectional view of an embodiment of the center rotation shaft assembly.
  • FIG. 24 shows a close up cross-sectional view of an embodiment of the center rotation shaft assembly.
  • FIGS. 25A-25C show an alternate embodiment of subassemblies of the gas chambers of the adjustable proportional flow injector assembly.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention is described in detail using preferred embodiments. The present invention, however, is not limited to these embodiments. Additionally, a requirement in an embodiment is freely applicable to other embodiments, and requirements are mutually replaceable unless special conditions are attached. Specifically, a CVD reactor or MOCVD reactor, and components and parts of the reactors, are described in further detail below. The CVD reactors or MOCVD reactors may comprise other components and parts which are not specifically mentioned herein. Further, it should be understood that the scope of the invention pertains to CVD reactors or MOCVD reactors which may comprise some of the components and parts discussed herein or may comprise all of the components and parts discussed herein.
  • FIG. 1 illustrates a front perspective view of one embodiment of the entire reactor assembly 1. The entire reactor assembly 1 is comprised of three subassemblies that together form the entire reactor assembly 1. The three subassemblies are the flow flange assembly 3, the adjustable proportional flow injector assembly 5, and the chamber assembly 7. FIG. 2 illustrates a side view of the reactor assembly 1 as well as some of the individual components that are visible from the exterior of the reactor 1. Those components are discussed in more detail below.
  • FIGS. 3-5 illustrate a cross sectional view of the entire reactor assembly 1 showing the interconnection of the three subassemblies, and a cross-sectional view of the individual components that make up the three subassemblies. As in FIGS. 1 and 2, the flow flange assembly 3, the adjustable proportional flow injector assembly 5 and the chamber assembly 7 are illustrated. The individual components of the three subassemblies 3, 5, and 7 are also indicated and discussed in greater detail below.
  • FIGS. 6-10 and 18 show several views of one embodiment of flow flange assembly 3. The flow flange assembly 3 comprises a main flange body 30 and has an upper opening 31 which defines a mating port for the flow injector assembly 5 on the top and mates to the chamber assembly 7 on the bottom end (shown best in the cross section view of FIGS. 3-5.) The flow flange assembly 3 has an upper flow guide 32, which, along with the flow injector and wafer carrier, defines the reactor volume 33 and the gas flow profile within the reactor volume, fitted within the main flange body 30.
  • The upper flow guide 32 preferably has a three-dimensional tapered cone outward facing surface 34 (as opposed to vertical cylindrical walls of prior art designs). The upper flow guide 32 is positioned and fits within the main flange body 30 (as best shown in FIGS. 7 and 8. The underside 35 of the main flange body 30 has a corresponding shape to receive the inward facing surface 36 of the upper flow guide 32 so that a thin fluid gap or cavity 37 is formed immediately behind the upper flow guide 32, between the upper flow guide 32 and the main flange body 30 (best illustrated in FIGS. 8-10). In an embodiment, such as depicted in the FIGS. 9 a-c, fluid cavity collection channels 41, 42 (two points here connect with the thin fluid cavity 37 through flow orifices 40.
  • The geometry of the upper flow guide 32 minimizes reactor chamber volume, suppresses recirculation eddies within the reactor chamber volume 33 and provides for efficient contacting of the reactant gas with the wafer carrier surface 77.
  • In one embodiment, as best shown in FIGS. 3-5 the upper flow guide 32 has a first (upper) diameter D-1 substantially equal to the diameter of the adjustable proportional flow injector (APFI) 7 and second (lower) diameter D-2 substantially equal to the diameter d3 of the wafer carrier 76 (as shown in FIG. 20). As illustrated in the figures, the first diameter D-1 is smaller than the second diameter D-2. The first diameter D-1 preferably is from about 0.2 to 0.5 of the second diameter D-2. The upper flow guide 32 is not strictly conical shaped, but rather curved as the guide extends downward and flares out as it approaches D-2. The upper flow guide 32 creates a gas flow pattern where a uniformly distributed, downward-flowing gas stream is directed towards the wafer carrier 76, but the gas stream is also turned laterally and expanded, so that a smaller diameter flow injector 5 can be used to uniformly distribute flow over a substantially larger wafer carrier 76, without the occurrence of recirculation of gas within the reactor chamber volume 33.
  • The curved or flared profile of the upper flow guide 32 provides approximately equal radial gas velocity. An upper flow guide 32 with this geometry is alternately referred as an expanding cone upper flow guide 32. While not bound by theory, for a gas flow moving radially outward, the gas must cross a continuously increasing cross sectional area (which increases with radius for cylindrical geometries), and as a result, the flow velocity must decrease. In order to maintain a substantially constant velocity, the height H-1 of the containing geometry may be gradually reduced, so that the cross sectional area (product of circumference multiplied by height) remains substantially constant, which counteracts the increase of the circumference with radius.
  • The flow flange assembly 3 preferably has a fluid gap 37 positioned directly behind the upper flow guide 32 (between the upper flow guide 32 and the main flange body 30). In embodiments of the invention, the fluid gap 37 is relatively thin (about 0.1 inches or less) which, for fluid flow rates of approximately 1 gallon per minute and for fluids having density and viscosity values within an order of magnitude of water, will result in a Reynold's number value of less than 3200, which is indicative of laminar flow within the fluid gap and efficient usage of fluid. This configuration results in reduced usage of fluid and/or reduces the capacity of a fluid recirculator (if a reservoir/recirculator heat exchanger system is to be employed).
  • The flow flange assembly 3 may further comprise bottom/outer to top/inner flow through the fluid gap 37 for air removal and counter-flow heat exchange. That is, fluid flows in a reverse direction through the fluid gap from the direction the gas is flowing in the reactor volume. This type of flow path through the fluid gap is achieved in one embodiment from a supply channel 41, optionally down through one or more supply conduits (not shown). Each supply channel 41 has one or more flow restricting orifices 40 proximate to the end of each supply channel 41. The flow restrictive orifices 40 sufficiently restrict the flow such that an equal flow rate of fluid passes through each supply channel, immediately prior to entering the fluid gap 37, producing a uniform flow delivery around the outer circumference of the fluid gap 37. Fluid flows radially inward though the fluid gap 37, and then passes through a second set of flow restricting orifices 40 within that transfers the fluid to a return channel 42 (optionally via one or more return conduits (not shown). Fluid is supplied via supply channel inlet tube 45 and returned through a fluid outlet tube 46. The flow characteristics of the fluid within the fluid gap 37 result in improved temperature uniformity within the reactor chamber volume 33, which improves the uniformity of the gas flow profile and deposition uniformity. The bottom/outer to top/inner flow pattern in the fluid gap 37 results in counter-flow heat exchange and effective removal of air from the gap 37.
  • A gap 43 between upper flow guide 32 at the outermost diameter of the upper flow guide D-2 (i.e. at the end of the upper flow guide proximate to the wafer carrier 76) and wafer carrier upper surface 77 at the outermost diameter d3 of the wafer carrier 76 generally inhibits or prevents recirculation of ejected gas above the wafer carrier 76. As shown particularly in FIGS. 3-5, the wafer carrier 76 rests on the top of a center rotation shaft 75. The upper flow guide 32 outer diameter D-2 is about equal to that of the wafer carrier d3 where the upper flow guide 32 is closest to the wafer carrier 76. At this point, the separation between these two parts H-2 is at a minimum value and the gap 43 facilitates the inhibition or prohibition of recirculation of the ejected gas within the reactor chamber volume 33. For example, the gap may have a dimension H-2 of about 1.00 inch or less, such as about 0.25 inch or less. The gas flowing downward from the adjustable proportional flow injector assembly 5 turns laterally within the reactor chamber volume 33 and flows radially outward. When it reaches the gap 43, the gas achieves a maximum flow velocity, and once past the gap 43, the gas begins to expand and decelerate in an exhaust collection zone 44 that is proximate to the gap 43, thereby preventing backward recirculation of the spent gas mixture, (i.e. the gas which has moved away from the reaction area at and above the wafer carrier 76).
  • In a preferred embodiment of the invention, the reactor 1 with an expanding cone upper flow guide 32 also incorporates a lower flow guide 72 (discussed in more detail below). The lower flow guide 72 prevents gas recirculation back into the reaction zone, improves smoothness of flow from outer edge of wafer carrier into exhaust ports for more stable overall reactor flow profile, and reduces heat losses at outer edge of wafer carrier 76 for better temperature uniformity and improved material characteristics.
  • The adjustable proportional flow injector assembly 5 (hereinafter “APFI 5”) in an embodiment of the invention is shown particularly in FIGS. 11-18 and 25. The adjustable proportional flow injection is a flow injector that receives multiple gas inlet streams from supply tubes and spreads or diffuses these flows for a uniform outlet flow velocity, while keeping the gas streams separated until they exit. Optionally the APFI 5 also regulates the temperature of the gases as they exit the adjustable proportional flow injector. The APFI 5 is typically cylindrical in shape (circular area and vertical height) and fits within the flow flange assembly 3. A cylindrical APFI is shown in the figures however, the APFI can be made in any shape and the exact shape will generally be dictated by the shape (area) of the upper opening 31 into which it is being mated. For example, if the upper opening 31 has a square or rectangular shape, then the APFI will have a corresponding square or rectangular shape so that it can be mated.
  • The adjustable proportional flow injector assembly 5 generally comprises a support flange 51, which provides structural integrity for the components mated to the support flange 51 and gas chamber inlet tubes or ports 54 that penetrate through the support flange 51. The support flange 51 further provides for mating the entire adjustable proportional flow injector assembly 5 to a main flange body 30.
  • The APFI 5 includes one or more gas chambers 52. In an embodiment, one or more of the gas chambers 50 may be machined into a gas chamber machining 52 and are formed from a plurality of gas chamber top walls or surface 57 and gas chamber bottom walls or surface 58. The gas chamber top wall 57 can be machined to form different zones as illustrated in the top views FIGS. 16 and 17. The gas chambers 50 are separated from the other gas chambers 50 by gas chamber vertical walls 59 that extend from the gas chamber top walls 57 to the gas chamber bottom walls 58 thereby forming the gas chambers 50. The one or more gas inlets 54, which may be incorporated into the gas chamber top walls 57, deliver gas to the one or more gas chambers 50 of the adjustable proportional flow injector 5, such as in a vertical direction (i.e. about perpendicular to the gas chamber top walls 57 and gas chamber bottom walls 58).
  • Each gas chamber 50 may receive a different gas stream and one or more of these gas chambers may spread or diffuse the gas and keep a first gas stream separate from other gas streams or each gas stream separate from another, and create a uniform flow velocity over a specific outlet surface area. Additionally, each gas chamber 50 may be configured in the same shape or different shape as the other gas chambers 50.
  • For example, as shown in FIG. 16 (the support flange 51 is removed from the figure) there is an outer gas chamber 50 a, and four intermediate gas chambers 50 b and 50 c, and an inner gas chamber 50 d. In one embodiment, the gas chamber 50 b receives Group III reactants and intermediate gas chambers 50 c receive group V reactants. The chambers 50 a-d are separated by the vertical walls 59, the gas chamber top walls 57 (not shown) and the gas chamber bottom walls 58.
  • The APFI 5 may also include a fluid cavity 60, which is located below the one or more gas chambers 50. The fluid cavity 60 may be formed by the mating of a fluid cavity machining 53 to the gas chamber machining 52. FIG. 17 shows the bottom view of an embodiment of the adjustable proportional flow injector assembly 5, showing the bottom face of the fluid cavity machining 53. Gas chamber outlets 61 may extend or penetrate from the bottom wall 58 of a gas chamber through the fluid cavity 60, such as through conduit tubes 63, into the reactor chamber volume 33. The conduit tubes 63 may have the same or different inner diameters and same or different outer diameters. Penetration of the conduit tubes 63 through the fluid cavity 60 permits the regulation of the gas temperature prior to introduction of the gases into the reactor chamber volume 33 by the appropriate control of the temperature of the fluid flowing through the fluid cavity 60. The fluid cavity 60 has a fluid cavity outlet 66 positioned at about the center of the fluid cavity 60 connected to a fluid cavity outlet tube 67. Additionally, fluid cavity inlets 68 are provided through fluid cavity inlet tubes 69 towards the periphery of the fluid cavity 60.
  • In embodiments that contain a fluid cavity diffuser 65 (discussed in more detail below), the fluid cavity outlet 68 is positioned inside the circumference of the diffuser 65, while the fluid cavity inlets 68 are positioned outside of the circumference of the diffuser 65.
  • The adjustable proportional flow injector assembly 5 may optionally have one or more of the following features. In one embodiment, the gas outlet apertures 61 are preferably a smaller size than the gas inlets 54 (for example there may be from about 100 to about 10,000 gas outlet apertures). The number of gas outlet apertures 61 and the inside diameter and length of the conduit tubes 63 extending through the fluid cavity 60 depends on the specific gas composition, flowrate, temperature and pressure and are also limited by the total surface area of the bottom wall 58 of a gas chamber and by manufacturing capabilities and costs, the difficulty and cost increasing as the outside and inside diameters of the conduit tubes 63 decreases and as the spacing of adjacent gas outlet apertures 61 decreases. Generally, however, the total cross sectional area of all of the conduit tubes 63 is preferably a factor between 2 and 6 times larger than the cross sectional area of the gas inlet 54 to a given gas chamber. This arrangement accounts for the greater wall surface area and corresponding fluid shear and pressure drop of the smaller-diameter conduit tubes 63 compared to the gas inlet 54, such that the pressure drop across the set of conduit tubes of a given gas chamber (that is, the pressure drop from the gas chamber to the reactor chamber volume 33) is preferably from several Torr to several tens of Torr.
  • The gas chamber upper walls 57 and gas chamber bottom walls may preferably be substantially parallel. The upper walls/surface 57 of all gas chambers can be substantially co-planar they can alternatively be on different planes. Similarly gas chamber bottom walls 58 of all gas chambers 50 can be co-planar or alternatively on different planes.
  • The adjustable proportional flow injector assembly 5 may optionally comprise one or more intermediate diffusing baffle plates 55 between and substantially parallel to the gas chamber upper walls 57 and the gas chamber bottom walls 58. When an intermediate diffusing baffle plate 55 is used, an upper gas chamber section 50 a and a lower gas chamber section 50 b is formed in the gas chamber 50 comprising the intermediate diffusing baffle plates 55. For example, the upper gas chamber section 50 a may be defined, generally, by the gas chamber upper wall 57, an upper surface of the intermediate diffusing baffle plate 55 and any side wall(s) 59 and the lower gas chamber section 50 b may be defined generally by the gas chamber lower wall 58, a lower surface of the intermediate diffusing baffle plate 55 and any side wall(s) 59.
  • Gas outlet apertures 61 of each gas chamber 50 are joined to outlet conduits (preferably small diameter tubes) 63 penetrating through the fluid cavity 60 which may be attached to or otherwise joined to the fluid cavity machining 53 thereby forming a lower fluid cavity wall proximate to the lowermost side of which is a boundary surface of the reactor chamber volume 33. The outlet conduits 63 preferably have an aperture pattern matching that of the combined set of gas chamber outlet apertures 61.
  • A further embodiment of the adjustable proportional flow injector assembly concerns a fluid temperature control zone with uniform, radial flow profile. Temperature regulating fluid, for example cooling fluid, flows into an outer distribution channel 62. In an embodiment of the invention, the fluid cavity 60 has a fluid cavity diffuser 65. The fluid cavity diffuser 65 is preferably a thin, cylindrical sheet metal ring having a height slightly larger than the height of the fluid cavity 60 and is preferably as thin as possible. In the preferred embodiment, the cylindrical sheet metal ring inserts into opposing circular grooves in the bottom surface of the gas chamber machining 53 and the upper surface of the fluid cavity machining 52, the sum of the depth of these two grooves preferably being equal to the additional height of the flow diffusing barrier over that of the fluid cavity, so that fluid delivered to the fluid cavity 60 at multiple inlets 68 at the outermost periphery of the fluid cavity must immediately move tangentially before flowing through a plurality of preferably equally spaced small apertures 64 in the flow diffusing barrier 65, resulting in a uniform flow distribution from the outermost periphery of the fluid cavity 60 radially inward towards the single outlet 66 at the center outlet 66 of the fluid cavity 60. The small apertures 64 act as flow restricting orifices, which sufficiently restrict flow so as to result in an equal flow through each aperture 64
  • FIG. 25( a-c) illustrates an alternate method of fabricating the APFI. Not all APFI components previously described are shown. In order to increase the ease and efficiency of both the manufacture and testing of the APFI, components of the APFI can be assembled from interchangeable modules or subassemblies. For example, gas outlet aperture sub-assemblies 150 can be constructed from an upper plate 151, a lower plate 152, and multiple conduits 63. The upper plate 151 constitutes the bottom wall 58 of a gas chamber 50 described above. The lower plate 152 constitutes a portion of the bottom wall 58 of the fluid cavity machining 53 previously described.
  • In this embodiment, the gas chamber machining 52 is constructed to receive multiple gas outlet aperture sub-assemblies 150, such that the upper surface 153 of the upper plate 151 mates flush to one or more lower surfaces 155 of gas chamber walls 59 previously described. The seam between the upper plates 151 of adjacent gas outlet aperture sub-assemblies 150 falls along the centerline of a given lower surface 155 of a gas chamber wall 59 so that a seal may be formed that prevents any leakage between the fluid cavity 63 thus formed and any gas chamber 50.
  • In the embodiment shown in FIGS. 25( a-c), the seam between the lower plates 152 of adjacent gas outlet aperture sub-assemblies 150 and between the lower plate 152 of a given gas outlet aperture sub-assembly 150 and the lower fluid cavity wall 157 integral with that gas chamber machining 52 may be sealed to prevent any leakage between the fluid cavity 63 and the reactor chamber volume 33. In one embodiment, it may be sealed in such a manner that the lower surface 154 of each gas outlet aperture sub-assembly 150 is flush with the lower surface 154 of all other gas outlet aperture sub-assemblies 150 and the lower surface 156 of the gas chamber machining, although this is not required. Fluid is thus delivered into the fluid cavity 63 through multiple fluid cavity inlets 68 and exits through one or more fluid cavity outlets 66, where the fluid cavity diffuser 65 (not shown) is positioned in a similar manner as previously described.
  • A further embodiment of the invention concerns methods for creating patterns of substantially equally spaced gas outlets in one or more radial patterns. In accordance with these methods, one or more patterns of circular holes are arranged such that the holes are equidistant from each other, such as in square or hexagonal patterns. For the radial zones comprising the adjustable proportional flow injector gas chambers, a method comprises distributing holes so that they are substantially equidistant from each other as well as area boundaries. This method generally comprises the steps of (1) arranging a first set of holes on a first line adjacent and parallel to a first radial area boundary, with equal spacing between these holes in a radial direction, (2) determining the angle, with vertex at the center axis of the machining, between a first point on the first line at a first radial distance from the center axis and the corresponding second point on a second line adjacent and parallel to a second radial area boundary, (3) determining the length of the arc, with origin at the center of the gas chamber machining, between a first hole at a given radius lying adjacent to the first radial area boundary and the corresponding second hole at the same radius lying adjacent to the second corresponding radial area boundary, (4) dividing this arc length by the desired center-to-center hole spacing distance and (5) rounding the resulting number to the nearest integer. Steps (2)-(5) are repeated for each hole comprising the set described in step (1). This method produces a hole pattern with equal separation between radial sets of holes, and nearly equal separation of holes within each radial set of holes. This method is particularly useful for producing substantially equidistant sets of holes in circular or semi-circular patterns over small areas, where irregularities in hole spacing are more significant than for patterns over large areas.
  • The reactors may also comprise a gas distribution zone having adjustability with no zone separating barriers (such as illustrated in FIG. 17). In this embodiment, the reactors comprise two or more gas inlet tubes 54 and a plurality of outlet holes 61 that geometrically function to produce an adjustable outlet flow pattern through the plurality of holes 61. While not bound by theory, by increasing or decreasing the amount flow to one or more of the inlet tubes 54, without having any discrete vertical separation wall 59 between any of the inlet tubes 54, stagnation areas that would normally be produced by the area below the separation walls, which can have not outlet flow holes, are eliminated.
  • The adjustable proportional flow injector assembly 5 may further comprise one or more sealed chamber tops, such as one or more o-ring sealed chamber tops, for cleaning and/or baffle changes. In a preferred embodiment, the gas chamber machining 52 includes o-ring grooves machined into the top surface of the vertical walls 59 separating the gas chambers, which eliminates the gas chamber zone upper walls 57. This is because an o-ring lying along the upper surface of the vertical walls can seal directly to the lower surface of the support flange 51 or other single intermediate sealing surface (rather than a plurality of welded surfaces). This configuration allows the gas chambers to be opened and cleaned or inspected, as well as reducing the number of parts required.
  • In a further embodiment, the adjustable proportional flow injector assembly 5 comprises a dual o-ring seal with vacuum barrier zone, best illustrated in FIG. 18. Dual o-ring seal produced by o-rings 91 in o-ring grooves 92 in the gas chamber machining 52 and the fluid cavity machining 53. One o-ring 91 a is positioned between the gas chamber machining 52 and the main flange body 31. A second 91 b is positioned between the fluid cavity machining 53 and the main flange body 30. A vacuum cavity 93 is created between the APFI, the main flange body 31, and the o-rings 91. A differential seal vacuum port tube 94 is included in the main flange body 31 to create and release the vacuum seal. This configuration permits easy removal of the adjustable proportional flow injector 5 while negating gas molecule permeation of the o-ring elastomer material, due to the significantly lower vacuum levels produced in the volume in between the two o-ring seals than on either side of each seal.
  • An embodiment of the chamber assembly 7 is shown in FIGS. 19-20 and FIGS. 3-5. The chamber assembly 7 has a reactor baseplate main body 70. The reactor baseplate main body is connected to a reactor jar top flange 100 via a reactor jar wall 101. The reactor jar top flange 100 mates with the main flange body 30 of the flow flange assembly 3. The baseplate main body 70 contains ports for a number of components useful in CVD reactors such as a center rotation shaft 75 (discussed in more detail below), base plate exhaust tubes 79; high current feedthrough 90; and rotary vacuum feedthrough housing 88.
  • The chamber assembly 7 has components typically found in a CVD reactor such as a heater assembly comprising a heat source and heat reflecting shields for heating the wafer carrier 76. In the embodiment shown, one or more heating elements 83 are positioned under the wafer carrier 76 and one or more heat shields 84 are positioned under the heating elements 83. For example, the heat source may be a filament for radiant heating or a copper tube for inductive heating, preferably arranged in a concentric circular pattern to match the circular area of the wafer carrier. Other types of heater assemblies may be used for heating the wafer carrier 76.
  • The chamber assembly 7 has a lower flow guide 72. The lower flow guide 72 has a frustoconical shape. The conical shaped lower flow guide 74 has an inner diameter d-1 and an outer diameter d-2. Preferably, the inner diameter d-1 is slightly larger than outer diameter d3 of the wafer carrier 76, although the inner diameter d-1 can be approximately the same, smaller or larger than the outer diameter d3 of the wafer carrier 76. The lower flow guide 72 is aligned approximately with the top surface 77 of wafer carrier 76. The outer diameter d-2 of the lower flow guide 72 is larger than the inner diameter d-1 creating a sloping surface in the downward direction.
  • In the preferred embodiment, the inner diameter d-1 is slightly larger than outer diameter d3 of the wafer carrier 76. The spacing between the inner diameter d-1 of the lower flow guide 72 and the outer diameter of the wafer carrier 76 forces the gas ejected from the gap 43 between the wafer carrier 76 and the upper flow guide 32 to expand gradually, and inhibits or prevents recirculation of the ejected gas below the outer edge of the wafer carrier 76. Preferably, the inner diameter d-1 of the lower flow guide and the outer diameter of the wafer carrier 76 are in close proximity to provide a narrow lower flow guide gap between the two, as the narrower the lower flow guide gap the more efficient ejection of the gas and greater the inhibition or prevention of the recirculation of gases within the reactor chamber volume 33. In a preferred embodiment, the lower flow guide 72 is fabricated from graphite.
  • The chamber assembly 7 may contain a lower flow guide reflector 74. The lower flow guide reflector 74 is positioned within the lower flow guide 72 and extending from the circumference of the wafer carrier 76 and angled in a downward direction. The reflector 74 is constructed of a thin piece of metal, preferably molybdenum. The reflector 74 acts to reflects heat inward and helps keep the heat constant over the surface of the lower flow guide 72.
  • In an embodiment, the lower flow guide 72 may be constructed of one or more sections or pieces, such as a two-piece lower flow guide 72. Due to the close spacing between the lower flow guide 72 and the wafer carrier 76, and due to the high temperature the wafer carrier 76 reaches during processing, in an alternate embodiment, the lower flow guide 76 has a first piece that is immediately adjacent to the wafer carrier 76 fabricated from a material having a superior temperature tolerance and coefficient of thermal expansion about equal to or similar to that of the wafer carrier 76 material (typically graphite, sapphire or a refractory metal), and a second piece fabricated from a material that does not have such temperature tolerance or coefficient of thermal expansion, such as a material that is less expensive and more easily formed than the material that comprises the first piece. In a preferred embodiment, the first piece is fabricated from graphite to provide the appropriate temperature tolerance and coefficient of thermal expansion match with the wafer carrier material.
  • The lower flow guide 72 may be in part or wholly an extension of the wafer carrier 76 extending from the diameter d3 of the surface of the wafer carrier 76 that holds the wafer, i.e. an outer edge profile of the wafer carrier surface 77 that holds the wafers. In this embodiment, all or a portion of the lower flow guide 76 is an extension of the wafer carrier from the outer circumference of preferably the wafer carrier top surface 77, or alternatively the lower surface 78, or at some point along the circumference in between. In a particular embodiment, the lower flow guide 72 has a first section which is an extension of the wafer carrier 76, such as within the first few centimeters from the narrow gap 40 between the wafer carrier outer diameter 76 and the upper flow guide 72, and a second piece that is completely separate from the wafer carrier 76 and is formed as a separate piece adjacent to the first piece.
  • The wafer carrier 76 for the reactor 1 may be a conventional one piece structure, however, embodiments having alternative structures are within the scope of the invention. For example, in an embodiment of the invention, the reactor may comprise a two-piece wafer carrier 76 comprising a removable top (i.e. platter or surface that holds the wafers) and a bottom. The removable top may be made from a number of materials, preferably sapphire and bottom may comprise graphite and may further comprise a means for heating, such as RF heated (for inductive heating of bottom and conductive heating of removable top and any wafers on the surface of the removable top). The two-piece wafer carrier can have the removable top replaced when necessary while the bottom can be reused.
  • For example, in one embodiment a two-piece wafer carrier has a sapphire removable top for holding the wafers and a graphite bottom that supports the sapphire removable top. The sapphire top is non-porous and will not degrade, which occurs with surfaces conventionally used, such as SiC encapsulant. The sapphire removable top can also be cleaned more rigorously (such as a rapid wet chemical etch, which is not easily performed with the graphite wafer carriers). The graphite bottom piece is a heat absorber for conductive heat transfer into the sapphire removable top and the wafers on the surface of the removable top, such as within wafer pockets that may be machined in an upper surface of the removable top.
  • In a further embodiment, the wafer carrier 76 is integral with (i.e. machined directly into) a portion of the center rotation shaft 75, which shaft 75 extends downward from the center of a bottom surface 78 of the wafer carrier 76. The center shaft 75 (alternatively, the center rotation shaft 75) extends downward through a heating coil and is comprised of a material suitable for heating, for example a material suitable for induction heating. This center rotation 75 shaft can be heated just as the main portion of the wafer carrier 76 is, and provides a thermal barrier to the conductive heat losses that may occur with conventional supporting spindle shafts.
  • The center rotation shaft 75 for the wafer carrier 76 may be a conventional one piece structure; however, embodiments having alternative structures may be used. For example, in one embodiment as shown in FIGS. 21-24, a multi-segment shaft 75 for the rotating wafer carrier, i.e. a shaft comprising one or more segments made from the same material or different material is used. In multi-segment embodiments, at least one segment will have a substantially lower thermal conductivity than the remaining shaft segment(s) used. The multi-segment spindle is particularly useful in conjunction with radiant heaters although the invention is not necessarily limited in this regard.
  • In the embodiment shown in FIGS. 21-24, there are three segments. A shaft upper segment 81 is directly in contact with the wafer carrier 76. The shaft upper segment 81 has a susceptor or flange 82 at the proximal end on which the bottom surface 78 of the wafer carrier 76 rests. When radiant heaters are used, the upper segment is preferably fabricated from a material (such as alumina or sapphire) having a lower thermal conductivity than the one or more of the remaining segment(s) of the multi-segment shaft 75. This selection of material produces the highest possible thermal transfer resistance. Segment interfaces between the multi-segment center shaft 75 and the wafer carrier 76 can be designed with minimal surface to further enhance the thermal transfer resistance. These features improve the temperature uniformity near the center area of the wafer carrier, as well as reduce energy losses in operation of the reactor.
  • Alternatively, when an inductive heater is used in the reactor, the segment in contact with the wafer carrier (the shaft upper segment 81) extends downward through an inductive heating coil. In this instance, the upper segment 81 is made of a material suitable for inductive heating. For example, when an inductive heater is used in the reactor, the upper segment 81 of the multi-segment center shaft 75 is preferably constructed of graphite.
  • In one embodiment, the multi-segment shaft 75 has a shaft lower segment 85 is constructed of a material that does not readily heat inductively (such as sapphire). The shaft upper segment 81 and shaft lower segment 85 are connected via a spacer 86 that is, preferably, constructed from alumina. The interfaces between the three (or more) segments preferably have minimal surface contact area to produce the highest possible thermal transfer resistance. The surface area may be reduced by including machined recesses 87 in the segments at the point of interface (shown in FIG. 24); to create thin rails 96 around the circumference of the ends of the segments. Contact between the segments only occurs at the thin rails 96 as opposed to the entire area of the segment ends. The segments are preferably secured by way of vented head cap screws 97.
  • There will be various modifications, adjustments, and applications of the disclosed invention that will be apparent to those of skill in the art, and the present application is intended to cover such embodiments. Accordingly, while the present invention has been described in the context of certain preferred embodiments, it is intended that the full scope of these be measured by reference to the scope of the following claims.

Claims (21)

1-27. (canceled)
28. A chemical vapor deposition reactor comprising:
a chamber assembly having a top end and a bottom end;
a flow flange assembly attached to the top end of the chamber assembly;
a wafer carrier configured to support at least one substrate wafer; and
a wafer carrier heating assembly;
wherein the chamber assembly comprises a lower flow guide that surrounds a wafer carrier.
29. The chemical vapor deposition reactor according to claim 28, wherein the flow flange assembly comprises an upper flow guide above the wafer carrier.
30. The chemical vapor deposition reactor according to claim 29, wherein the upper flow guide has a curved cross-sectional profile and first fluid gap formed between the an inward facing surface of the upper flow guide and an underside of a main flange body of the flow flange assembly.
31. The chemical vapor deposition reactor according to claim 28, wherein the flow flange assembly comprises an upper flow guide connected to a main flange body, wherein a first fluid gap is positioned between the upper flow guide and the main flange body.
32. The chemical vapor deposition reactor according to claim 31, wherein a second gap located between an upper surface of the wafer carrier and an outward facing surface of the upper flow guide is about 1 inch or less.
33. The chemical vapor deposition reactor according to claim 28, wherein the chamber assembly comprises one or more reflectors located between the wafer carrier and the lower flow guide.
34. The chemical vapor deposition reactor according to claim 28, wherein the lower flow guide, generally, has a conical shape, a sloped shape or a frustoconical shape.
35. The chemical vapor deposition reactor according to claim 28, wherein a top end or surface of the lower flow guide is aligned approximately with a top surface of the wafer carrier.
36. The chemical vapor deposition reactor according to claim 28, wherein the lower flow guide has an outer diameter that is larger than an inner diameter of the lower flow guide, and further wherein at least a portion of an outer surface of the lower flow guide is sloped or curved in a downward direction.
37. The chemical vapor deposition reactor according to claim 28, wherein an inner diameter of the lower flow guide and an outer diameter of the wafer carrier are in close proximity and provide a narrow gap between each other.
38. The chemical vapor deposition reactor according to claim 28, further comprising:
one or more reflectors arranged to surround at least a portion of the wafer carrier heating assembly and angled in a downward direction.
39. The chemical vapor deposition reactor according to claim 38, wherein the one or more reflectors is constructed of a thin piece of metal.
40. The chemical vapor deposition reactor according to claim 39, wherein the thin piece of metal is molybdenum.
41. The chemical vapor deposition reactor according to claim 28, wherein the lower flow guide is constructed of two or more pieces.
42. The chemical vapor deposition reactor according to claim 41, wherein the lower flow guide comprises a first piece, located adjacent to the wafer carrier, fabricated from a first material having a first temperature tolerance and coefficient of thermal expansion about equal to a second temperature tolerance and coefficient of thermal expansion of a second material of the wafer carrier.
43. The chemical vapor deposition reactor according to claim 42, wherein the lower flow guide comprises a second piece fabricated from a third material that is a different material than the first material of the first piece.
44. The chemical vapor deposition reactor according to claim 28, wherein an inner diameter of the lower flow guide is the same as or larger than an outer diameter of the wafer carrier.
45. The chemical vapor deposition reactor according to claim 28, wherein the wafer carrier rests on a top of a center rotation shaft.
46. The chemical vapor deposition reactor according to claim 45, wherein the center rotation shaft has a flange at a proximal end located adjacent to the wafer carrier and a bottom surface of the wafer carrier rests on the flange of the center rotation shaft.
47. The chemical vapor deposition reactor of claim 45, wherein the center rotation shaft comprises at least one shaft segment having a substantially lower thermal conductivity than remaining shaft segments of the center rotation shaft.
US14/186,089 2007-10-11 2014-02-21 Chemical vapor deposition reactor Abandoned US20140216341A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/186,089 US20140216341A1 (en) 2007-10-11 2014-02-21 Chemical vapor deposition reactor

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97918107P 2007-10-11 2007-10-11
US12/248,167 US8778079B2 (en) 2007-10-11 2008-10-09 Chemical vapor deposition reactor
US14/186,089 US20140216341A1 (en) 2007-10-11 2014-02-21 Chemical vapor deposition reactor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/248,167 Division US8778079B2 (en) 2007-10-11 2008-10-09 Chemical vapor deposition reactor

Publications (1)

Publication Number Publication Date
US20140216341A1 true US20140216341A1 (en) 2014-08-07

Family

ID=40549831

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/248,167 Expired - Fee Related US8778079B2 (en) 2007-10-11 2008-10-09 Chemical vapor deposition reactor
US14/186,089 Abandoned US20140216341A1 (en) 2007-10-11 2014-02-21 Chemical vapor deposition reactor
US14/186,102 Abandoned US20140216347A1 (en) 2007-10-11 2014-02-21 Chemical vapor deposition reactor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/248,167 Expired - Fee Related US8778079B2 (en) 2007-10-11 2008-10-09 Chemical vapor deposition reactor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/186,102 Abandoned US20140216347A1 (en) 2007-10-11 2014-02-21 Chemical vapor deposition reactor

Country Status (6)

Country Link
US (3) US8778079B2 (en)
EP (1) EP2215282B1 (en)
JP (1) JP2011500961A (en)
KR (1) KR101177983B1 (en)
CN (1) CN101802254B (en)
WO (1) WO2009049020A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9567669B2 (en) 2014-12-04 2017-02-14 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing light-emitting diode device using the same

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8895107B2 (en) * 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
KR101108879B1 (en) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 Gas injecting device and Substrate processing apparatus using the same
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP5410348B2 (en) * 2010-03-26 2014-02-05 株式会社豊田中央研究所 Surface treatment equipment
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2659026B1 (en) 2010-12-30 2015-06-17 Veeco Instruments Inc. Wafer processing with carrier extension
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103014667B (en) * 2011-09-23 2015-07-01 理想能源设备(上海)有限公司 Chemical vapor deposition (CVD) device
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103132051B (en) * 2011-11-23 2015-07-08 中微半导体设备(上海)有限公司 Chemical vapor deposition reactor or epitaxial layer growth reactor and support device thereof
JP5848140B2 (en) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 Plasma processing equipment
US9816184B2 (en) * 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
DE102012205616B4 (en) * 2012-04-04 2016-07-14 Siltronic Ag Device for depositing a layer on a semiconductor wafer by means of vapor deposition
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9691641B2 (en) * 2012-12-13 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of cleaning wafers
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9388493B2 (en) 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI473903B (en) * 2013-02-23 2015-02-21 Hermes Epitek Corp Gas Injector and Cover Plate Assembly for Semiconductor Equipment
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
CN205122538U (en) * 2013-03-12 2016-03-30 应用材料公司 A window subassembly and interior symbasis board handling implement that is used for interior symbasis board handling implement
JP6473131B2 (en) 2013-03-12 2019-02-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Multi-zone gas injection assembly with azimuthal and radial distribution control
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI502096B (en) * 2013-06-17 2015-10-01 Ind Tech Res Inst Reaction device and manufacture method for chemical vapor deposition
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN103436862B (en) * 2013-08-06 2015-04-22 中国电子科技集团公司第四十八研究所 MOCVD reactor and support shaft for MOCVD reactor
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
KR101560623B1 (en) * 2014-01-03 2015-10-15 주식회사 유진테크 Substrate processing apparatus and substrate processing method
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR101500546B1 (en) * 2014-07-07 2015-03-12 (주)앤피에스 substrate support apparatus and substrate treatment apparatus including the same
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
JP5792364B1 (en) * 2014-07-31 2015-10-07 株式会社日立国際電気 Substrate processing apparatus, chamber lid assembly, semiconductor device manufacturing method, program, and recording medium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) * 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN104264217B (en) * 2014-10-20 2017-06-16 佛山市中山大学研究院 A kind of MOCVD reaction units for preparing semiconductor epitaxial wafer
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
CN104498904B (en) * 2014-12-29 2017-04-26 华中科技大学 Spray header for MOCVD equipment
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP5916909B1 (en) * 2015-02-06 2016-05-11 株式会社日立国際電気 Substrate processing apparatus, gas rectifier, semiconductor device manufacturing method and program
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101653987B1 (en) * 2015-04-10 2016-09-05 엔비스아나(주) Apparatus For Analyzing Substrate Contamination And Method Thereof
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
ITUB20160556A1 (en) * 2016-02-08 2017-08-08 L P E S P A SUSCECTOR WITH HEATED PIN AND REACTOR FOR EPITAXIAL DEPOSITION
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP7065857B2 (en) 2016-09-19 2022-05-12 キング・アブドゥッラー・ユニバーシティ・オブ・サイエンス・アンド・テクノロジー Susceptor
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) * 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
CN106480423B (en) * 2016-10-31 2019-01-11 山东赛帝格新材料有限责任公司 A kind of equipment and its deposition method depositing graphene film
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
FR3058162B1 (en) * 2016-11-02 2021-01-01 Commissariat Energie Atomique DEPOSIT PROCESS FOR THIN CHALCOGENURE FILMS
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (en) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (en) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus and substrate procesing method
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR102576220B1 (en) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 Thin Film Processing Appartus and Method
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN214848503U (en) * 2018-08-29 2021-11-23 应用材料公司 Implanter apparatus, substrate processing apparatus and structure embodied in machine-readable medium
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
DE102018130139A1 (en) * 2018-11-28 2020-05-28 Aixtron Se Gas inlet device for a CVD reactor
WO2020112764A1 (en) * 2018-11-28 2020-06-04 Lam Research Corporation Pedestal including vapor chamber for substrate processing systems
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
US11798803B2 (en) * 2019-05-15 2023-10-24 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR102260972B1 (en) * 2019-07-24 2021-06-04 (주)유니버셜스탠다드테크놀러지 A apparatus for treating the substrate
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
KR20220041916A (en) * 2019-08-05 2022-04-01 아이커 시스템즈, 인크. Seal for flow limiter
US11841036B2 (en) 2019-08-05 2023-12-12 Ichor Systems, Inc. Laminar flow restrictor and seal for same
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US20230340336A1 (en) * 2020-08-19 2023-10-26 The Regents Of The University Of California Chemical reaction and conversion in thermally heterogeneous and non-steady-state chemical reactors
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114293174A (en) * 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 Gas supply unit and substrate processing apparatus including the same
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) * 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113445129A (en) * 2021-06-21 2021-09-28 无锡吴越半导体有限公司 Vapor phase epitaxy reaction cavity structure for preventing process gas from refluxing
US20230019430A1 (en) * 2021-07-13 2023-01-19 Changxin Memory Technologies, Inc. Gas injector and diffusion furnace device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114105679B (en) * 2021-11-25 2023-05-16 西安鑫垚陶瓷复合材料有限公司 Chemical vapor infiltration diversion equipment and method for preparing ceramic composite pipe fitting by using same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US20050121432A1 (en) * 2002-02-28 2005-06-09 Takanori Saito Heat treatment system
US7312422B2 (en) * 2006-03-17 2007-12-25 Momentive Performance Materials Inc. Semiconductor batch heating assembly

Family Cites Families (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3398718A (en) * 1965-03-10 1968-08-27 Atomic Energy Commission Usa Fluidized-bed coating apparatus
DE1521538A1 (en) * 1966-02-25 1969-09-11 Telefunken Patent Process for the production of thin oxide layers on semiconductor bodies or other substrates
US3621812A (en) * 1969-06-18 1971-11-23 Texas Instruments Inc Epitaxial deposition reactor
US3673983A (en) 1970-04-27 1972-07-04 Rca Corp High capacity deposition reactor
US4392453A (en) 1981-08-26 1983-07-12 Varian Associates, Inc. Molecular beam converters for vacuum coating systems
JPS6097622A (en) 1983-11-01 1985-05-31 Toshiba Mach Co Ltd Epitaxial device
US4579080A (en) 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
US4596208A (en) 1984-11-05 1986-06-24 Spire Corporation CVD reaction chamber
US4714091A (en) 1985-06-10 1987-12-22 Emcore, Inc. Modular gas handling apparatus
US4772356A (en) 1986-07-03 1988-09-20 Emcore, Inc. Gas treatment apparatus and method
US4969416A (en) 1986-07-03 1990-11-13 Emcore, Inc. Gas treatment apparatus and method
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
DE3721636A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh QUARTZ GLASS REACTOR FOR MOCVD SYSTEMS
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
FR2628984B1 (en) 1988-03-22 1990-12-28 Labo Electronique Physique PLANETARY EPITAXY REACTOR
FR2628985B1 (en) 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
DE3918094A1 (en) 1989-06-02 1990-12-06 Aixtron Gmbh METHOD FOR PRODUCING DOPED SEMICONDUCTOR LAYERS
JPH0740569B2 (en) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション ECR plasma deposition method
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH04175294A (en) 1990-11-09 1992-06-23 Fujitsu Ltd Vapor growth equipment
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5173336A (en) 1991-01-22 1992-12-22 Santa Barbara Research Center Metal organic chemical vapor deposition (MOCVD) reactor with recirculation suppressing flow guide
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
JP2766433B2 (en) * 1992-07-23 1998-06-18 株式会社東芝 Semiconductor vapor deposition equipment
JP3115134B2 (en) 1992-11-27 2000-12-04 松下電器産業株式会社 Thin film processing apparatus and thin film processing method
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
FR2737588B1 (en) * 1995-08-01 2001-11-02 Kodak Pathe NEW PRODUCT FOR INDUSTRIAL RADIOGRAPHY
AU6962196A (en) * 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US5534068A (en) 1995-10-27 1996-07-09 Specialty Coating Systems, Inc. Parylene deposition apparatus including a tapered deposition chamber and dual vacuum outlet pumping arrangement
TW322602B (en) * 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
JP3901252B2 (en) * 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 Chemical vapor deposition equipment
US6066836A (en) 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US5835678A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
KR20000069146A (en) 1996-11-27 2000-11-25 로벤 에프. 리차드 쥬니어 Chemical vapor deposition apparatus
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5759281A (en) 1997-06-30 1998-06-02 Emcore Corporation CVD reactor for uniform heating with radiant heating filaments
JPH1167675A (en) 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd High-speed rotary vapor phase thin-film forming device and high-speed rotary vapor phase thin-film forming method using the device
US6221155B1 (en) 1997-12-15 2001-04-24 Advanced Silicon Materials, Llc Chemical vapor deposition system for polycrystalline silicon rod production
KR100524204B1 (en) 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 Gas processor
EP0975821B1 (en) 1998-02-18 2001-10-17 AIXTRON Aktiengesellschaft Cvd reactor and use thereof
US6080241A (en) 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
JP2000114184A (en) * 1998-10-02 2000-04-21 Toshiba Corp Vapor phase growth system for semiconductor wafer
DE19855637A1 (en) 1998-12-02 2000-06-15 Aixtron Ag Process and system for semiconductor crystal production with temperature management
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6313443B1 (en) * 1999-04-20 2001-11-06 Steag Cvd Systems, Ltd. Apparatus for processing material at controlled temperatures
US6368404B1 (en) 1999-04-23 2002-04-09 Emcore Corporation Induction heated chemical vapor deposition reactor
US6349270B1 (en) 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
US6214121B1 (en) 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US6530992B1 (en) 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
JP2004513857A (en) 1999-07-26 2004-05-13 エムコア・コーポレイション Apparatus for growing epitaxial layer on wafer
JP4487338B2 (en) 1999-08-31 2010-06-23 東京エレクトロン株式会社 Film forming apparatus and film forming method
US6548112B1 (en) * 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
KR100728244B1 (en) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 Silylation treatment unit and method
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
JP4778655B2 (en) 2000-02-04 2011-09-21 アイクストロン、アーゲー Method and apparatus for depositing one or more coatings on a substrate
US7473316B1 (en) 2000-04-12 2009-01-06 Aixtron Ag Method of growing nitrogenous semiconductor crystal materials
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
DE10043601A1 (en) 2000-09-01 2002-03-14 Aixtron Ag Device and method for depositing, in particular, crystalline layers on, in particular, crystalline substrates
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
DE10064944A1 (en) 2000-09-22 2002-04-11 Aixtron Ag Process for depositing crystalline layers in particular, gas inlet element and device for carrying out the process
EP1322801B1 (en) * 2000-09-22 2010-01-06 Aixtron Ag Cvd-method and gas inlet mechanism for carrying out the method
US6492625B1 (en) 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
JP5290488B2 (en) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ Vapor growth of oxides, silicates and phosphates
DE10055182A1 (en) 2000-11-08 2002-05-29 Aixtron Ag CVD reactor with substrate holder rotatably supported and driven by a gas stream
US6533867B2 (en) 2000-11-20 2003-03-18 Applied Epi Inc Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
WO2002052617A1 (en) 2000-12-23 2002-07-04 Aixtron Ag Method and device for treating semiconductor substrates
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6506252B2 (en) 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6547876B2 (en) 2001-02-07 2003-04-15 Emcore Corporation Apparatus for growing epitaxial layers on wafers by chemical vapor deposition
JP2002235173A (en) 2001-02-08 2002-08-23 Hitachi Ltd Plasma cvd apparatus and method for cleaning deposited film
JP3924483B2 (en) * 2001-03-19 2007-06-06 アイピーエス リミテッド Chemical vapor deposition equipment
DE10118130A1 (en) 2001-04-11 2002-10-17 Aixtron Ag Device for depositing crystalline layers on crystalline substrates in the gas phase comprises a heated reaction chamber with substrate holders arranged in a circular manner on a support, heated sources, and a hydride feed line
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
DE10124609B4 (en) 2001-05-17 2012-12-27 Aixtron Se Method for depositing active layers on substrates
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6596133B1 (en) 2001-06-14 2003-07-22 Cvc Products, Inc. Method and system for physically-assisted chemical-vapor deposition
US6797108B2 (en) * 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
DE10151259A1 (en) 2001-10-17 2003-04-30 Aixtron Ag Method and device for obtaining correlation values from process parameters and layer properties in a CVD process
EP1444380B1 (en) * 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
EP1459362A2 (en) 2001-12-21 2004-09-22 Aixtron AG Method for depositing iii-v semiconductor layers on a non-iii-v substrate
TW561526B (en) 2001-12-21 2003-11-11 Aixtron Ag Method for depositing III-V semiconductor layers on a non-III-V substrate
US7524532B2 (en) 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
DE10232731A1 (en) 2002-07-19 2004-02-05 Aixtron Ag Loading and unloading device for a coating device
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (en) * 2002-11-15 2008-10-10 삼성전자주식회사 Gas injection apparatus for semiconductor processing system
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
DE10320597A1 (en) 2003-04-30 2004-12-02 Aixtron Ag Method and device for depositing semiconductor layers with two process gases, one of which is preconditioned
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
ATE554196T1 (en) 2003-08-20 2012-05-15 Veeco Instr Inc VERTICALLY FLOWED TURNTABLE DISK REACTORS AND TREATMENT METHODS THEREWITH
DE102004021578A1 (en) 2003-09-17 2005-04-21 Aixtron Ag Method and apparatus for depositing mono- or multi-component layers and layer sequences using non-continuous injection of liquid and dissolved starting substances via a multi-channel injection unit
EP1664380A2 (en) 2003-09-17 2006-06-07 Aixtron AG Method and device for depositing single component or multicomponent layers and series of layers using non-continuous injection of liquid and dissolved starting material by a multi-channel injection unit
US7235139B2 (en) 2003-10-28 2007-06-26 Veeco Instruments Inc. Wafer carrier for growing GaN wafers
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
DE102004007984A1 (en) 2004-02-18 2005-09-01 Aixtron Ag CVD reactor with photodiode array
DE102004009130A1 (en) 2004-02-25 2005-09-15 Aixtron Ag Inlet system for a MOCVD reactor
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
CN102154628B (en) 2004-08-02 2014-05-07 维高仪器股份有限公司 Multi-gas distribution injector for chemical vapor deposition reactors
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7645342B2 (en) 2004-11-15 2010-01-12 Cree, Inc. Restricted radiated heating assembly for high temperature processing
JP2006173560A (en) 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd Wafer guide, metal organic vapor phase growing device and method for depositing nitride semiconductor
DE102004058521A1 (en) 2004-12-04 2006-06-14 Aixtron Ag Method and apparatus for depositing thick gallium nitrite layers on a sapphire substrate and associated substrate holder
JP4934595B2 (en) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
DE102005003984A1 (en) 2005-01-28 2006-08-03 Aixtron Ag Gas inlet element for a chemical vapor deposition (CVD) reactor useful in CVD reactors with base outlets for introduction of process gas via edge side access holes and mixing chamber upstream of access holes for homogenizing gas composition
US7275861B2 (en) 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
DE102005004312A1 (en) 2005-01-31 2006-08-03 Aixtron Ag Gas distributor for a chemical vapor deposition or organic vapor phase deposition reactor is characterized in that process gases are distributed radially in a first plane and then circumferentially in a second plane
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
DE102005055468A1 (en) 2005-11-22 2007-05-24 Aixtron Ag Coating one or more substrates comprises supplying gases to process chamber via chambers with gas outlet openings
DE102005056320A1 (en) 2005-11-25 2007-06-06 Aixtron Ag CVD reactor with a gas inlet member
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
DE102006018515A1 (en) 2006-04-21 2007-10-25 Aixtron Ag CVD reactor with lowerable process chamber ceiling
US20070254100A1 (en) 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor without metalorganic-source temperature control
JP4193883B2 (en) 2006-07-05 2008-12-10 住友電気工業株式会社 Metalorganic vapor phase epitaxy system
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
US20080102199A1 (en) 2006-10-26 2008-05-01 Veeco Instruments Inc. Multi-wafer rotating disc reactor with wafer planetary motion induced by vibration
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
CN101611472B (en) 2007-01-12 2015-03-25 威科仪器有限公司 Gas treatment systems
DE102007009145A1 (en) 2007-02-24 2008-08-28 Aixtron Ag Device for depositing crystalline layers optionally by means of MOCVD or HVPE
US8216419B2 (en) 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
KR100956352B1 (en) * 2007-09-06 2010-05-07 세메스 주식회사 Apparatus and method of producting carbon nano tube
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8021487B2 (en) 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
WO2009099776A1 (en) 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
CN102144280B (en) 2008-08-29 2016-05-04 威科仪器有限公司 There is the chip carrier that changes thermal resistance
US20100086703A1 (en) 2008-10-03 2010-04-08 Veeco Compound Semiconductor, Inc. Vapor Phase Epitaxy System
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
DE102010000554A1 (en) 2009-03-16 2010-09-30 Aixtron Ag MOCVD reactor with a locally different to a Wärmeableitorgan coupled ceiling plate
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
WO2010129289A2 (en) 2009-04-28 2010-11-11 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110290175A1 (en) 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
US20100310766A1 (en) 2009-06-07 2010-12-09 Veeco Compound Semiconductor, Inc. Roll-to-Roll Chemical Vapor Deposition System
DE102009025971A1 (en) 2009-06-15 2010-12-16 Aixtron Ag Method for setting up an epitaxial reactor
KR101115697B1 (en) * 2009-12-02 2012-03-06 웅진폴리실리콘주식회사 Cvd reactor with energy efficient thermal-radiation shield
US8486726B2 (en) 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
DE102010000447A1 (en) 2010-02-17 2011-08-18 Aixtron Ag, 52134 Coating device and method for operating a coating device with a screen plate
US9324590B2 (en) 2010-02-24 2016-04-26 Veeco Instruments Inc. Processing methods and apparatus with temperature distribution control
CN102859679B (en) * 2010-03-03 2015-05-27 威科仪器有限公司 Wafer carrier with sloped edge
US20110237051A1 (en) 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
US20110259879A1 (en) 2010-04-22 2011-10-27 Applied Materials, Inc. Multi-Zone Induction Heating for Improved Temperature Uniformity in MOCVD and HVPE Chambers
CN102212877B (en) 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 MOCVD (Metal-organic Chemical Vapor Deposition) system with multiple extensional reaction cavities and operation method thereof
US8460466B2 (en) 2010-08-02 2013-06-11 Veeco Instruments Inc. Exhaust for CVD reactor
CN101922042B (en) 2010-08-19 2012-05-30 江苏中晟半导体设备有限公司 Epitaxial wafer tray and support and rotation connecting device matched with same
US20120058630A1 (en) 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
US20120083060A1 (en) 2010-09-30 2012-04-05 Jie Cui Integration of cluster mocvd and hvpe reactors with other process chambers
CN102485953B (en) 2010-12-01 2014-07-30 北京北方微电子基地设备工艺研究中心有限责任公司 Pallet device and crystallized film growth device
CN102560434B (en) 2010-12-13 2014-10-22 北京北方微电子基地设备工艺研究中心有限责任公司 Metal organic compound chemical vapor deposition equipment
CN102560636B (en) 2010-12-14 2016-03-30 北京北方微电子基地设备工艺研究中心有限责任公司 A kind of substrate bearing device and apply the substrate processing equipment of this device
CN102560431B (en) 2010-12-21 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 Metal organic chemical vapor deposition device and chamber assembly thereof
US8888360B2 (en) 2010-12-30 2014-11-18 Veeco Instruments Inc. Methods and systems for in-situ pyrometer calibration
US20120171377A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
WO2012139006A2 (en) 2011-04-07 2012-10-11 Veeco Instruments Inc. Metal-organic vapor phase epitaxy system and process
CN102732859A (en) 2011-04-08 2012-10-17 北京北方微电子基地设备工艺研究中心有限责任公司 Gas transmission apparatus and substrate processing device therewith
CN102776489B (en) 2011-05-09 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 Gas inlet ring, gas inlet assembly, process chamber apparatus and CVD equipment
CA2834809A1 (en) * 2011-05-13 2012-11-22 Greencentre Canada Group 11 mono-metallic precursor compounds and use thereof in metal deposition
WO2012166770A2 (en) 2011-05-31 2012-12-06 Veeco Instruments Inc. Heated wafer carrier profiling
CN102820206B (en) 2011-06-10 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 Heat reflection device and semiconductor processing device
US20130005118A1 (en) 2011-07-01 2013-01-03 Sung Won Jun Formation of iii-v materials using mocvd with chlorine cleans operations
CN102953050B (en) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 Large-diameter sprayer of MOCVD (metal organic chemical vapor deposition) reactor
WO2013033428A2 (en) 2011-08-30 2013-03-07 Applied Materials, Inc. In situ process kit clean for mocvd chambers
KR20130037688A (en) 2011-09-01 2013-04-16 비코 인스트루먼츠 인코포레이티드 Wafer carrier with thermal features
CN103132051B (en) 2011-11-23 2015-07-08 中微半导体设备(上海)有限公司 Chemical vapor deposition reactor or epitaxial layer growth reactor and support device thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
US20050121432A1 (en) * 2002-02-28 2005-06-09 Takanori Saito Heat treatment system
US7312422B2 (en) * 2006-03-17 2007-12-25 Momentive Performance Materials Inc. Semiconductor batch heating assembly

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9567669B2 (en) 2014-12-04 2017-02-14 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing light-emitting diode device using the same

Also Published As

Publication number Publication date
CN101802254A (en) 2010-08-11
WO2009049020A2 (en) 2009-04-16
KR20100070333A (en) 2010-06-25
CN101802254B (en) 2013-11-27
US20140216347A1 (en) 2014-08-07
US8778079B2 (en) 2014-07-15
EP2215282A4 (en) 2010-11-17
JP2011500961A (en) 2011-01-06
WO2009049020A3 (en) 2009-07-16
EP2215282A2 (en) 2010-08-11
US20120111271A1 (en) 2012-05-10
KR101177983B1 (en) 2012-08-29
EP2215282B1 (en) 2016-11-30

Similar Documents

Publication Publication Date Title
US8778079B2 (en) Chemical vapor deposition reactor
US20050011436A1 (en) Chemical vapor deposition reactor
US7641939B2 (en) Chemical vapor deposition reactor having multiple inlets
TWI523974B (en) A CVD reactor carried by a multi-zone air cushion, and a method of controlling the temperature of the surface temperature of the substrate base
TWI482205B (en) MOCVD reactor with cylindrical air intake mechanism
WO2009052002A1 (en) Multi-gas concentric injection showerhead
WO2012084661A1 (en) A microwave plasma reactor for manufacturing synthetic diamond material
WO1999066101A1 (en) Dual channel gas distribution plate
CN101824606A (en) Vertical shower type MOCVD reactor
CN115305458B (en) Gas distribution part, gas conveying device and film processing device thereof
WO2019032324A1 (en) Showerhead and process chamber incorporating same
US20160033070A1 (en) Recursive pumping member
TW202035779A (en) Susceptor of a CVD reactor
JPH04233723A (en) Variable distribution gas flow reaction chamber
CN113699509B (en) Semiconductor growth equipment and working method thereof
KR20040091651A (en) Method and device for depositing semi-conductor layers
CN218812237U (en) Improve film quality's air inlet structure
CN117821934A (en) Chamber assembly, air inlet device and substrate processing equipment
TW202236477A (en) CVD reactor having a process chamber floor rising in a feeder zone
CN115821376A (en) Improve air intake structure of film quality
CN115874281A (en) Isolation baffle device of MOCVD reaction chamber
KR101322596B1 (en) Metal organic chemical vapor deposition apparatus
CN116417368A (en) Pumping system and substrate processing apparatus
GB2469225A (en) Chemical vapor deposition reactor having multiple inlets

Legal Events

Date Code Title Description
AS Assignment

Owner name: VALENCE PROCESS EQUIPMENT, INC., NEW JERSEY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BEGARNEY, MICHAEL J;CAMPANALE, FRANK J;SIGNING DATES FROM 20140428 TO 20140513;REEL/FRAME:033005/0750

AS Assignment

Owner name: MARCUS, G. ROBERT, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNOR:VALENCE PROCESS EQUIPMENT, INC.;REEL/FRAME:033741/0781

Effective date: 20140820

Owner name: MARCUS, G. ROBERT, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNOR:VALENCE PROCESS EQUIPMENT, INC.;REEL/FRAME:033741/0660

Effective date: 20140820

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION