CN1115425C - 用于半导体处理的气体注射系统 - Google Patents

用于半导体处理的气体注射系统 Download PDF

Info

Publication number
CN1115425C
CN1115425C CN96197800A CN96197800A CN1115425C CN 1115425 C CN1115425 C CN 1115425C CN 96197800 A CN96197800 A CN 96197800A CN 96197800 A CN96197800 A CN 96197800A CN 1115425 C CN1115425 C CN 1115425C
Authority
CN
China
Prior art keywords
enclosed space
nozzle
gaseous substance
extension
gas injection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN96197800A
Other languages
English (en)
Other versions
CN1200773A (zh
Inventor
莉迪亚·J·扬
理查德·H·马西森
西蒙·塞利斯特
罗恩·范奥斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1200773A publication Critical patent/CN1200773A/zh
Application granted granted Critical
Publication of CN1115425C publication Critical patent/CN1115425C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种用于向一半导体处理室(12)中注射气态物质的系统(10)。注射系统(10)包括至少一个形成于封闭空间主体(52)内的封闭空间(42)和多个喷嘴(34),它们和每个封闭空间相连接,用于从封闭空间(42)向室(12)注射气态物质。一管道结构沿着一迂回通道从封闭空间(42)向喷嘴(34)输送气态物质。喷嘴(34)被定位和构造成在晶片(22)整个表面上提供均匀的气体物质分布。

Description

用于半导体处理的 气体注射系统
技术领域
本发明大致涉及用于分布气态物质的系统,更具体地说,涉及用于半导体处理的气体注射系统。
背景技术
半导体晶片的处理典型地是通过将晶片放在室中,然后向晶片表面暴露于各种化学物质来完成的。物质的化学性能取决于所用处理的类型以及形成于半导体晶片表面上的器件的特性。化学物质一般地是以气态形式或者与载体气体一起被输送到室中。经过几个工序以后,使用高强度等离子体,可使形成于晶片表面的各膜层得到增强。
用于向室中提供化学物质的气体分布装置典型地包括:一封闭空间,它和气体供应入口连接;和多个喷嘴,用于从封闭空间向室中注射气体。典型地,封闭空间的背压在气体供应入口的注射点附近最高。结果,最靠近注射点的喷嘴提供的气体的流率比远离供应入口的喷嘴所提供的气体的流率高。为了优化膜质量,最好,气本分布装置在晶片整个表面上提供基本均匀的气体分布。分布装置可以用较少、较小的喷嘴,放在供应入口附近,以减少从供应入口附近进入封闭空间的气体量。为了使从封闭空间进入室中的气体获得较大的均匀性,有些气体分布装置包括一折流板,它将封闭空间分成两个室,从而在气体到达喷嘴之前,在整个封闭空间中获得更加均匀的气体分布。虽然,封闭空间中气体分布得到改进,但是,折流板的增加又会增加装置的成本和复杂程序,需要使用另外的密封。最好使用能提供基本均匀的气体供应又不需使用折流板或扩散板的气体分布装置。
可获得的气体分布装置的喷嘴典型地被构造成总体上朝晶片的方向注射气态物质。随着集成电路的复杂程度和封装密度的增加,形成于晶片表面的膜的均匀性变得越来越重要。在晶片表面径向的成膜速度取决于气体流率和喷嘴相对于晶片表面所在区域的位置和方向等因素。最好有一种气体分布装置,其喷嘴被布置来在晶片表面形成基本均匀的膜层。
在提供均匀的气体分布和控制进入室中的气体物质的流动方面,喷嘴的设计起着重要作用。对于等离子增强化学气相沉积工艺,最好用高流率来减少完成处理所需的时间。最好使用能提供气体物质的次声速、声速和超声速流率的喷嘴。
发明内容
本发明的主要目的是提供一种气体注射系统,用于向一处理室中输送气态物质。
本发明的另一目的是提供一种气体注射系统,用于以一均匀流率向一处理室中输送气态物质。
本发明的另一目的是提供一种气体注射系统,其整个封闭空间中具有一基本均匀的背压。
本发明的另一目的是提供一种气体注射系统,它提供一基本均匀的气体分布。
本发明的另一目的是提供一种气体注射系统,用于在晶片表面上形成一具有基本均匀分布的膜。
本发明的另一目的是提供一种喷嘴,它特别适合于高密度等离子增强沉积工艺。
本发明总的目的是提供一种可以有效且经济地制造、安装和维修的气体注射系统。
总之,本发明提供一种用于向一半导体处理室中输送气态物质的气体注射系统,包括:一封闭空间主体,其可安装在处理室中并且其中形成至少一个封闭空间,所述封闭空间包括至少一个供应入口,以向所述封闭空间输送气态物质;多个喷嘴,用于向所述处理室中注射所述气态物质,所述喷嘴和所述封闭空间隔开;和多个迂回管道,每个管道延伸于所述封闭空间和至少一个所述喷嘴之间,用于沿着一迂回通道从所述封闭空间向至少一个所述喷嘴输送气体物质,所述迂回管道导引所述气态物质流至至少两个不同的流动方向,以干扰所述气态物质在所述封闭空间和所述至少一个所述喷嘴之间的流动,使得所述气态物质从所述封闭空间到所述至少一个所述喷嘴均匀传输,而不依赖于所述至少一个所述喷嘴相对于所述供应入口的位置。
最好是,所述迂回管道至少包括一个第一延伸段和一个第二延伸段,所述第一延伸段从所述封闭空间在第一方向延伸,所述第二延伸段从所述第一延伸段在第二方向延伸,该第二方向相对于第一方向成一倾斜角度。优选地,所述第二延伸段基本上垂直于所述第一延伸段。
最好是,每个所述迂回管道包括至少一个弯段,用于在所述气态物质从所述封闭空间输送到所述至少一个所述喷嘴之前改变所述气态物质的流动方向。
最好是,所述迂回管道的内径小于所述封闭空间的内径。
优选地,所述迂回管道具有一内横截面,该内横截面小于所述封闭空间的内横截面并大于所述喷嘴的内横截面的。
优选地,所述封闭空间主体安装在所述处理室中,处理室具有不大于4mTorr的工作气压。
优选地,所述封闭空间具有一环形结构。
优选地,所述封闭空间主体中形成有一第二封闭空间,还包括多个第二喷嘴,它们与所述第二封闭空间连接,用于向所述室中输送一第二气态物质。
优选地,所述第一喷嘴被取向为大致按一第一方向注射所述气态物质,所述第二喷嘴被取向来大致按不同于所述第一方向的一第二方向注射所述第二气态物质。更优选地,所述第一喷嘴形成一第一注射平面,所述第二喷嘴形成一与所述第一平面分开的第二注射平面。
优选地,所述封闭空间主体中形成有多个封闭空间。
本发明另一方面提供一种用于向一半导体处理室中注射一气态物质的系统,包括:一封闭空间主体,其中形成有多个封闭空间,所述每个封闭空间都有一供应入口,用于向封闭空间中输送气态物质;多个第一喷嘴,和一个第一所述封闭空间连接,用于向所述处理室中注射所述气态物质,所述多个第一喷嘴被取向为相对于一水平面成一第一角度,以将提供到所述第一封闭空间的所述气态物质朝向位于与所述多个第一喷嘴相同侧的基片的边缘注射;以及多个第二喷嘴,其与一第二所述封闭空间连接,用于向所述处理室中注射所述气态物质,所述多个第二喷嘴被取向为相对于水平面成一第二角度,以将提供到所述第二封闭空间的所述气态物质从所述多个第二喷嘴朝向位于处理室相反侧的基片的边缘注射。
优选地,所述的系统还包括迂回管道,它延伸于至少一个所述封闭空间和与之相连的一个所述喷嘴之间,所述迂回管道形成一迂回通道,用于从至少一个所述封闭空间向至少一个相应的所述喷嘴输送所述气态物质,所述迂回管道导引所述气态物质流至至少两个不同的流动方向,以干扰所述气态物质在所述封闭空间和所述至少一个所述喷嘴之间的流动,使得所述气态物质从所述封闭空间到所述至少一个所述喷嘴均匀传输,而不依赖于所述至少一个所述喷嘴相对于所述供应入口的位置。
优选地,所述迂回管道包括至少两个管道段,它们延伸于至少一个所述封闭空间和至少一个相应的所述喷嘴之间,每个所述管道段和其相邻的管道段之间成一定角度。
优选地,所述迂回管道的内径小于至少一个所述封闭空间的内径。
优选地,所述迂回管道至少包括一弯段,用于在将所述气态物质从所述封闭空间输送到所述至少一个喷嘴之前,改变气态物质的流动方向。
优选地,所述第一所述封闭空间和所述第二所述封闭空间的每个封闭空间都和一硅烷和氩气源连接;并且所述封闭空间主体包括一第三封闭空间,它和一氧气源连接。
优选地,一个所述封闭空间和一第一源连接,另一个所述封闭空间和一第二源连接,所述第一源和所述第二源以不同的流率提供所述气态物质。
本发明再一方面提供一种用于向半导体处理室中输送气态物质的气体注射系统,包括:一个封闭空间主体,其可安装于处理室中,并且其中形成有至少一个封闭空间,所述封闭空间包括至少一个供应入口,用于向所述封闭空间输送气态物质;多个喷嘴,用于将所述气态物质注射到处理室中,所述各个喷嘴与所述封闭空间隔开;和多个迂回管道,延伸于所述封闭空间和所述喷嘴之间,用于沿着一迂回通道输送所述气体物质,所述迂回通道构造成能干扰所述气态物质的流动,使得所述气态物质均匀地分布到所述喷嘴,而不依赖于所述喷嘴相对于所述供应入口的位置,所述迂回管道至少包括一个第一延伸段和第二延伸段,所述第一延伸段从所述封闭空间在第一方向延伸,所述第二延伸段从所述第一延伸段在第二方向延伸,该第二方向相对于第一方向成一倾斜角度。
优选地,所述第二延伸段基本上垂直于所述第一延伸段。
优选地,所述第一和第二延伸段的内横截面大于所述喷嘴的内横截面。
优选地,所述封闭空间主体安装在所述处理室中,处理室具有不大于4mTorr的工作气压。
附图的简要说明
参考附图,通过下面的详细说明和所附权利要求书,可以更好地理解本发明的其它目的和特征。附图中:
图1是根据本发明的气体注射系统的示意性透视及局部剖视图,图中示出的是安装在一等离子增强化学气相沉积系统中的一种气体注射系统;
图2是根据本发明的气体注射系统的剖视示意图;
图3是图2所示气体注射系统的主封闭空间的顶视平面示意图;
图4是图1所示气体注射系统的剖视图;
图5是本发明气体注射系统的剖视示意图;
图6A-C是在成膜过程中半导体晶片上膜轮廓的局部放大示意图;
图7A-C是本发明的喷嘴轮廓的局部剖视放大图。
优选实施方式的详细描述
现在参考附图中所示的本发明优选实施例进行详述在所有附图中,相同的部件用相同的参考标号来表示,如图1所示。
图1示出了一气体注射组件10,它特别适合于向处理系统14的室12中输送气态物质。处理系统14用于等离子增强化学气相沉积处理,当然可以理解,注射组件10也可以和处理晶片的其它工艺一起使用,这些工艺包括:化学气相沉积;蚀刻;高温薄膜沉积等,但也不局限于上述工艺。处理系统14总体上包括一室壁16和一包围室12的顶板18。一支撑组件20在室12中支撑晶片22,以便对晶片进行处理。在优选实施例中,支撑组件20是共同待审申请No.08/500480中介绍的静电夹持组件,这里引用来作为参考。然而,如果需要的话,也可以使用其它类型的支撑系统,如一机械夹盘。一等离子源24固定到顶板18上,它大致上和晶片22轴对准,产生等离子,以增强晶片22的处理。等离子源24在共同待审的申请NO.08/500,493中作了详细介绍,这里引用作为参考。一真空系统(未显示)用来使室12排成真空。正如本领域的技术人员所知道的那样,真空系统一般包括一真空泵(未显示),它通过一接口(未显示)工作连接(operativelycoupled)到室12上。正如申请No.08/500,493中所介绍的,真空泵大致与室12轴对准,以改进对气体和等离子的流动控制。另外,正如本领域的技术人员所知道的那样,真空泵可以放在室12的侧面。
气体注射组件10固定到顶板18下面的室壁16上。在本发明的实施例中,注射组件有一环形结构,系统的外周表面30固定到室壁上,其内表面32形成一贯穿注射组件的中心孔。多个喷嘴34向处理室中喷射气体,使气态物质从注射组件向室的中心轴流动。气体注射组件的环形结构使气体围绕室的周边均匀分布,同时,在等离子源24和晶片22之间提供一通道。将注射组件10和等离子流分开,还可以实现对等离子密度和气态物质流动的单独控制。如图1中箭头所示,等离子源24产生的等离子和气态物质的活性物质(active species)向下运动到位于注射组件10、室壁16和支撑系统20之间形成的射频场内的晶片表面上,在晶片上沉积一层膜。
尽管气体注射组件10的环形结构是优选的,必须知道的是也可以使用本发明范畴内的其它结构。例如,如果需要的话,注射组件可以是矩形或椭圆形结构。如果需要的话,可以使用一个或多个弧形注射装置来替代一个在室周边连续延伸的注射组件。
本发明的气体注射组件10提供了对沉积在晶片表面的膜的切向轮廓(晶片周边的厚度)和径向轮廓(晶片径向厚度)的优化控制。当气体通过喷嘴34以不同的流率进行注射时,可以得到切向轮廓的各种变化。散射角α(图4)和相对于水平面的注射角β(图5)导致径向轮廓的变化。本发明的组件10可以用来优化膜的切向和径向轮廓的均匀性。
下面将参考图2-3讨论对切向轮廓的控制。气体注射系统10一般包括至少一主封闭空间42,它通过入口44和供应线45与气体源46连接。主封闭空间42通过一管道结构(图2中用48来表示)与喷嘴34连接,它可以构造成来平衡封闭空间的背压,从而使喷嘴34以基本均匀的流率向室12中注射气体。正如图2中示例所示,管道结构48在主封闭空间42和喷嘴34之间形成一迂回通道,故管道结构48也称为迂回管道。最好,管道结构48包括多个独立的通道50,它从主封闭空间延伸到喷嘴。在该实施例中,每个喷嘴34有一独立的通道50,尽管(如果需要的话)同一通道可以连接一个或多个喷嘴和主封闭空间42。每个通道的截面积小于封闭空间的横截面积,封闭空间的截面积最好小于喷嘴34的截面积总和的1000倍。
如图2和3中的箭头所示,在主封闭空间中,气体流动的速度矢量在气体到达喷嘴34之前从其初始流动方向开始,至少改变两次方向。最好,管道结构48的结构可以使气体流动方向发生急剧变化,如图2中的直角所示。具体应用时所需的方向改变的程度将随通道间的角度、通道直径和通道长度等因素的变化而变化。在本发明的优选实施例中,通道的规格和气体分子的平均自由程有关,使通道直径小于平均自由程,通道长度为平均自由程的2至3倍的数量级。应当理解,管道结构48所形成的实际通道可以有相当多的变型。
利用管道结构48将喷嘴34和主封闭空间42的流动通道分开,可以允许主封闭空间42的背压在气体流动到喷嘴之前达到平衡。由于封闭空间和喷嘴34之间的迂回通道的存在以及通道50相对于主封闭空间的截面积的减小,使气体基本上通过扩散从主封闭空间输送到喷嘴。结果,气体可以方便地到达一选定的注射点,而且与注射点相对于入口44的位置无关。每个喷嘴34以一基本均匀的流率向室中喷射气体,所以,明显减少了膜沉积的切向轮廓的变化。
下面将参考图4详细介绍气体注射组件10,如图4所示,注射组件10一般包括一封闭空间主体52,主封闭空间42形成于其中。在封闭空间主体52中形成有两个封闭空间42a和42b。尽管可以理解在本发明中可以使用任意数量的封闭空间。对于高密度等离子增强化学气相沉积工艺,封闭空间42a最好与一硅烷和氩气源连接,而封闭空间42b最好与一氧气源连接。一气体供应通道55在供应线和入口44之间延伸,以向封闭空间提供气体物质。在实施例中,供应线55基本上是水平的,尽管应当理解,供应线还可以垂直于封闭空间42或与封闭空间成一角度。可以使用一个或多个供应线55来向封闭空间输送气体物质。使用管道结构48,一个供应线将在封闭空间42中提供一基本均匀的气体分布。
通道50包括:一第一延伸段56,它形成于封闭空间主体52上向下伸出部58内;和一第二延伸段60,它终止于安装到封闭空间主体的向下伸出部58上的多个分离的且沿周向彼此分开的指状物62。使用合适的紧固元件将指状物62固定到封闭空间主体52上。例如,指状物62可以压配到或拧入形成于封闭空间主体52内的孔中。封闭空间主体52和指状物62之间的协同配合可以最大程度降低或基本上避免封闭空间主体和指状物62之间的泄漏。如果需要的话,可以将主体52和指状物62之间的连接密封起来。在该实施例中,第一和第二延伸段56和60是相互垂直的,其中,第一延伸段56为基本上是垂直方向,第二延伸段60基本上是水平方向。然而,在本发明范畴内,延伸段56和60之间的相对方位可以有一定的变化。第二延伸段60终止于喷嘴34。
本发明的管道结构48的结构具有特别的优点。封闭空间主体52的最小内径是由内表面32限定的。向下延伸部58可以基本上与内表面32轴对准或朝表面32向外隔开。向下延伸部最好朝内表面32向外间隔开,以增加支撑组件20周围的敞开面积。如图1所示,在本发明的实施例中,向下延伸部沿室12的周边连续延伸。延伸部58不会明显干涉气体流动,因为它不会伸到封闭空间主体52轮廓的外面。然而,如果需要的话,向下延伸部58可以用多个向下延伸的指状物来替代,从而进一步增加支撑组件20周围的敞开面积。
指状物62允许喷嘴34位于靠近支撑组件20的附近,而不会明显干涉气体和等离子体经过室的流动,从而提高处理操作的效率。当真空泵和室12轴对准时,各指状物62最好沿周向彼此分开,从而维持支撑组件20周围气体对称流动。然而,应当理解,指状物62的实际位置可以有多种变型。
在本发明的实施例中,封闭空间主体52包括:一外部64,它支撑于室壁16的水平延伸突出部分65上;和一可移动内部66。内部66通过合适的紧固元件(如一螺纹紧固件67)紧固到外部上。最好,多个紧固元件沿封闭空间主体52的周向彼此分开。外部64和内部66的配合表面协同成形,以形成封闭空间52。多个密封元件68压在封闭空间主体52的内部和外部之间,以隔离封闭空间,从而,防止封闭空间之间以及封闭空间和室12之间的气体泄漏。应当理解,封闭空间主体52的结构可以有多种变化,而不局限于图4所示的结构。
通过对喷嘴数目、喷嘴34相对于晶片的位置、实际注射点和注射方向等因素进行修改,可以获得理想的膜均匀性,膜的成分,气体用量(实际到达晶片表面的提供的化学物质量)和晶片表面轮廓。可以对上面四个因素当中的每个因素进行适当变化,同时考虑其真空压力、气体种类和离子能量,从而得到理想的结果。
每个喷嘴相对于晶片的位置是通过喷嘴和晶片的轴的径向矩离r(图5);喷嘴在晶片平面上的高度z(图5);和喷嘴在晶片周向的角位置θ(图3)来确定的。在实际操作过程中,注射的气体散射位置(这里指实际注射点)和喷嘴的注射点不同。注射的气体在散射之前从喷嘴34行进的距离基本上是由在操作压力下气体种类的平均自由程确定的。在约2毫乇(mTorr)的压力下,分子重量约为30的分子(如硅烷和氧气)的平均自由程约为2.5cm。最好,喷嘴34的实际位置,选择在使每个喷嘴的实际注射点处于相对于晶片的理想位置上。图4和图5示出了注射组件10的一优选实施例,它特别适合于沉积二氧化硅膜。喷射组件包括十二至三十六个喷嘴34。喷嘴位于晶片平面之上约2cm至5cm,距晶片的外边缘约2cm至4cm,使实际注射点位于相对晶片表面的理想位置上。使用在2mTorr气压下平均自由程约为2.5cm的硅烷和氧化,当确定喷嘴的位置时,实际注射的位置是一要考虑的重要变量。
注射矢量是由喷嘴34的位置(或,更具体地说是指实际注射点的位置)和相对于水平面的注射角β来确定的。角度β可能为零,此时,气体沿基本平行于晶片表面的方向注射。可以选择角度β使气流到达晶片的指定部位。可以通过确定各个喷嘴的方位,以提供不同的注射角度β,从而得到理想的膜轮廓。例如,某些喷嘴的注射矢量基本指向晶片的近侧,而其它喷嘴的注射矢量基本指向晶片的远侧。
如图5简单示出的,气体注射组件10包括三个封闭空间42a,42b和42c,每个封闭空间和一独立的气体源46连接。对于高密度等离子增强化学气相沉积,第一和第三封闭空间42a和42c和一氩气和硅烷源连接,而第二封闭空间42b和一氧气源连接。然而,可以根据室12中所采取的处理,使用各种其它的气体物质。可以使用两个或多个封闭空间42来提高在沉积膜的径向轮廓以及成膜速率控制方面的适应性。气体可以用不同的速率提供给选定的喷嘴。与第一封闭空间42a相连的喷嘴34a基本指向离喷嘴34最近的晶片区域。从喷嘴34a提供的处理气体基本上产生图6A所示的晶片轮廓;使沿着晶片边缘的膜大于晶片中央膜的厚度。与第三封闭空间42c相连的喷嘴34c指向喷嘴34c对面的晶片边缘,产生图6B所示的晶片轮廓,其晶片中央的厚度大于沿晶片边缘的膜的厚度。最好,喷嘴34c所用流率大于喷嘴34a的流率。与第二封闭空间42b相连的一些喷嘴34b指向晶片的近边,而其它的则指向晶片的远边,通过在处理时,同时操作喷嘴34a,34b和34c,可以得到如图6C所示具有基本均匀厚度的膜。所以,可以使用单独的封闭空间42a和42c以及喷嘴34a和34c的方位来明显改进膜的径向轮廓的均匀性。
图7Aa-7C示出了喷嘴的示例,它们可以用于注射系统10中,喷嘴34一般包括:一入口70;一出口72和一内壁74,内壁74延伸于入口70和出口72之间。在喷嘴的截面积最小处,获得通过喷嘴的最大气体流率。图7A示出了一传统的轮廓,其中,喷嘴34的截面积是一定值。在示出的实施例中,圆柱形喷嘴的内径约为0.2mm至0.3mm。气体加速发生在入口70处,喷嘴的内壁74限制着气体经过喷嘴的流动。这种喷嘴所能得到的最大速度一般小于气体物质的声速。
图7B示出了锥形喷嘴轮廓,其中,喷嘴34在出口72处的截面积最小。在本实施例中,入口70的直径约为1.4mm至1.6mm,出口72的直径约为0.2mm至0.3mm。当气体在喷嘴中行进时,流率密度逐渐增加,在出口74处达到最大。喷嘴所能获得的流率可以达到气体物质的声速。
图7C示出了一优选的喷嘴轮廓。喷嘴34的最小截面位于入口70和出口72之间。在本发明的一实施例中,入口和出口的直径约为0.5mm至0.7mm,喷嘴最小直径约为0.2mm至0.3mm。图7C所示的喷嘴允许选择散射角,以将气体集中喷射到晶片表面上。
本发明的注射系统10根据使用场合和所需的沉积速度可以和次声速喷嘴、声速喷嘴或超声速喷嘴一起使用。对于等离子增强化学气相沉积工艺,图7C所示的喷嘴轮廓可以对气体物质经过喷嘴的流率进行较大的控制,可以使气体流率达到次声速,也可以达到超声速。使用图7B所示的轮廓,沉积的速度受气体的声速限制。通过将气体流率增加到超声速流率,沉积处理的速度明显增加。对于操作压力低于3-4mTorr的高密度等离子增强工艺,能获得超声速流率非常有利。对等离子增强工艺,能获得理想的散射角α也特别有利。
上面已对本发明的具体实施例进行了介绍,它们仅作为示例性的,而不是本发明就局限于这几种形式,很明显,根据上面的教导,可以进行各种修改和变化。选择实施例进行介绍是为了更好地解释本发明的原理及其具体应用,从而使本领域的技术人员可以更好地使用本发明以及为了提供一些适合于具体应用场合的各种修改的实施例。本发明的发明范畴由后面所附的权利要求书和其同等物规定。

Claims (23)

1.一种用于向一半导体处理室中输送气态物质的气体注射系统,包括:
一封闭空间主体,其可安装在处理室中并且其中形成至少一个封闭空间,所述封闭空间包括至少一个供应入口,以向所述封闭空间输送气态物质;
多个喷嘴,用于向所述处理室中注射所述气态物质,所述喷嘴和所述封闭空间隔开;和
多个迂回管道,每个管道延伸于所述封闭空间和至少一个所述喷嘴之间,用于沿着一迂回通道从所述封闭空间向至少一个所述喷嘴输送气体物质,所述迂回管道导引所述气态物质流至至少两个不同的流动方向,以干扰所述气态物质在所述封闭空间和所述至少一个所述喷嘴之间的流动,使得所述气态物质从所述封闭空间到所述至少一个所述喷嘴均匀传输,而不依赖于所述至少一个所述喷嘴相对于所述供应入口的位置。
2.如权利要求1所述的气体注射系统,其中,所述迂回管道至少包括一个第一延伸段和一个第二延伸段,所述第一延伸段从所述封闭空间在第一方向延伸,所述第二延伸段从所述第一延伸段在第二方向延伸,该第二方向相对于第一方向成一倾斜角度。
3.如权利要求1所述的气体注射系统,其中,每个所述迂回管道包括至少一个弯段,用于在所述气态物质从所述封闭空间输送到所述至少一个所述喷嘴之前改变所述气态物质的流动方向。
4.如权利要求1所述的气体注射系统,其中,所述迂回管道的内径小于所述封闭空间的内径。
5.如权利要求2所述的气体注射系统,其中,所述第二延伸段基本上垂直于所述第一延伸段。
6.如权利要求1所述的气体注射系统,其中,所述迂回管道具有一内横截面,该内横截面小于所述封闭空间的内横截面并大于所述喷嘴的内横截面的。
7.如权利要求1所述的气体注射系统,其中,所述封闭空间主体安装在所述处理室中,处理室具有不大于4mTorr的工作气压。
8.如权利要求1所述的气体注射系统,其中,所述封闭空间具有一环形结构。
9.如权利要求1所述的气体注射系统,其中,所述封闭空间主体中形成有一第二封闭空间,还包括多个第二喷嘴,它们与所述第二封闭空间连接,用于向所述室中输送一第二气态物质。
10.如权利要求9所述的气体注射系统,其中,所述第一喷嘴被取向为按一第一方向注射所述气态物质,所述第二喷嘴被取向来按不同于所述第一方向的一第二方向注射所述第二气态物质。
11.如权利要求9所述的气体注射系统,其中,所述第一喷嘴形成一第一注射平面,所述第二喷嘴形成一与所述第一平面分开的第二注射平面。
12.如权利要求1所述的气体注射系统,其中,所述封闭空间主体中形成有多个封闭空间。
13.一种用于向一半导体处理室中注射一气态物质的系统,包括:
一封闭空间主体,其中形成有多个封闭空间,所述每个封闭空间都有一供应入口,用于向封闭空间中输送气态物质;
多个第一喷嘴,和一个第一所述封闭空间连接,用于向所述处理室中注射所述气态物质,所述多个第一喷嘴被取向为相对于一水平面成一第一角度,以将提供到所述第一封闭空间的所述气态物质朝向位于与所述多个第一喷嘴相同侧的基片的边缘注射;以及
多个第二喷嘴,其与一第二所述封闭空间连接,用于向所述处理室中注射所述气态物质,所述多个第二喷嘴被取向为相对于水平面成一第二角度,以将提供到所述第二封闭空间的所述气态物质从所述多个第二喷嘴朝向位于处理室相反侧的基片的边缘注射。
14.如权利要求13所述的系统,还包括迂回管道,它延伸于至少一个所述封闭空间和与之相连的一个所述喷嘴之间,所述迂回管道形成一迂回通道,用于从至少一个所述封闭空间向至少一个相应的所述喷嘴输送所述气态物质,所述迂回管道导引所述气态物质流至至少两个不同的流动方向,以干扰所述气态物质在所述封闭空间和所述至少一个所述喷嘴之间的流动,使得所述气态物质从所述封闭空间到所述至少一个所述喷嘴均匀传输,而不依赖于所述至少一个所述喷嘴相对于所述供应入口的位置。
15.如权利要求14所述的系统,其中,所述迂回管道包括至少两个管道段,它们延伸于至少一个所述封闭空间和至少一个相应的所述喷嘴之间,每个所述管道段和其相邻的管道段之间成一定角度。
16.如权利要求14所述的系统,其中,所述迂回管道的内径小于至少一个所述封闭空间的内径。
17.如权利要求14所述的系统,其中,所述迂回管道至少包括一弯段,用于在将所述气态物质从所述封闭空间输送到所述至少一个喷嘴之前,改变气态物质的流动方向。
18.如权利要求13所述的系统,其中,所述第一所述封闭空间和所述第二所述封闭空间的每个封闭空间都和一硅烷和氩气源连接;并且所述封闭空间主体包括一第三封闭空间,它和一氧气源连接。
19 如权利要求13所述的系统,其中,一个所述封闭空间和一第一源连接,另一个所述封闭空间和一第二源连接,所述第一源和所述第二源以不同的流率提供所述气态物质。
20.一种用于向半导体处理室中输送气态物质的气体注射系统,包括:
一个封闭空间主体,其可安装于处理室中,并且其中形成有至少一个封闭空间,所述封闭空间包括至少一个供应入口,用于向所述封闭空间输送气态物质;
多个喷嘴,用于将所述气态物质注射到处理室中,所述各个喷嘴与所述封闭空间隔开;和
多个迂回管道,延伸于所述封闭空间和所述喷嘴之间,用于沿着一迂回通道输送所述气体物质,所述迂回通道构造成能干扰所述气态物质的流动,使得所述气态物质均匀地分布到所述喷嘴,而不依赖于所述喷嘴相对于所述供应入口的位置,所述迂回管道至少包括一个第一延伸段和第二延伸段,所述第一延伸段从所述封闭空间在第一方向延伸,所述第二延伸段从第一延伸段在第二方向延伸,该第二方向相对于第一方向成一倾斜角度。
21.如权利要求20所述的气体注射系统,其中,所述第二延伸段基本上垂直于所述第一延伸段。
22.如权利要求20所述的气体注射系统,其中,所述第一和第二延伸段的内横截面大于所述喷嘴的内横截面。
23.如权利要求20所述的气体注射系统,其中,所述封闭空间主体安装在所述处理室中,处理室具有不大于4mTorr的工作气压。
CN96197800A 1995-10-23 1996-10-18 用于半导体处理的气体注射系统 Expired - Fee Related CN1115425C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54688595A 1995-10-23 1995-10-23
US08/546,885 1995-10-23

Publications (2)

Publication Number Publication Date
CN1200773A CN1200773A (zh) 1998-12-02
CN1115425C true CN1115425C (zh) 2003-07-23

Family

ID=24182437

Family Applications (1)

Application Number Title Priority Date Filing Date
CN96197800A Expired - Fee Related CN1115425C (zh) 1995-10-23 1996-10-18 用于半导体处理的气体注射系统

Country Status (11)

Country Link
US (1) US5851294A (zh)
EP (1) EP0870072B1 (zh)
JP (1) JPH11514499A (zh)
KR (1) KR100434749B1 (zh)
CN (1) CN1115425C (zh)
AT (1) ATE275213T1 (zh)
AU (1) AU7450596A (zh)
DE (1) DE69633295D1 (zh)
HK (1) HK1015420A1 (zh)
TW (1) TW356554B (zh)
WO (1) WO1997015698A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101355010B (zh) * 2007-07-26 2010-11-24 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置及反应腔室

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
WO1998000576A1 (en) 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US5975011A (en) * 1997-12-22 1999-11-02 Ball Semiconductor, Inc. Apparatus for fabricating spherical shaped semiconductor integrated circuits
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
FR2816519B1 (fr) * 2000-11-15 2003-02-14 Joint Industrial Processors For Electronics Dispositif d'injection de gaz dans un reacteur a chauffage par lampes a rayonnement electromagnetique, et four de traitement equipe d'un tel dispositif
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
JP3447707B2 (ja) * 2001-03-02 2003-09-16 三菱電機株式会社 熱処理装置およびこれを用いた熱処理方法
US7378127B2 (en) 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6855370B2 (en) * 2001-05-04 2005-02-15 E. I. Du Pont De Nemours And Company Fluoropolymer interlayer dielectric by chemical vapor deposition
JP2002353184A (ja) * 2001-05-28 2002-12-06 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US6758909B2 (en) * 2001-06-05 2004-07-06 Honeywell International Inc. Gas port sealing for CVD/CVI furnace hearth plates
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
CN100336184C (zh) * 2002-06-21 2007-09-05 纳幕尔杜邦公司 化学气相淀积法含氟聚合物介电中间层
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
WO2004032200A2 (en) * 2002-10-03 2004-04-15 Genus, Inc. Systems and methods for improved gas delivery
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7323231B2 (en) 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
KR100578136B1 (ko) * 2004-01-27 2006-05-10 삼성전자주식회사 플라즈마로 강화된 반도체 증착 장비
US20050211544A1 (en) * 2004-03-29 2005-09-29 Seagate Technology Llc Electrical biasing of gas introduction means of plasma apparatus
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
TW200729300A (en) * 2005-11-30 2007-08-01 Nuflare Technology Inc Film-forming method and film-forming equipment
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
JP2007242777A (ja) * 2006-03-07 2007-09-20 Hitachi High-Technologies Corp プラズマエッチング装置及びプラズマエッチング方法
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR100952673B1 (ko) * 2007-12-27 2010-04-13 세메스 주식회사 기판 처리 장치 및 이의 유체 공급 방법
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
CN102177274B (zh) * 2008-10-08 2014-08-06 Abcd技术有限公司 汽相沉积系统
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8931431B2 (en) * 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
EP2545197B1 (en) * 2010-03-12 2020-12-16 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE112013002823T5 (de) * 2012-06-07 2015-03-19 Soitec Gaseinspritzkomponenten für Abscheidungssysteme, Abscheidungssysteme mit derartigen Komponenten und dazugehörige Verfahren
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US10163606B2 (en) * 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
CN104103484B (zh) * 2013-04-15 2017-07-25 中微半导体设备(上海)有限公司 气体供应装置及等离子体处理装置
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP7166759B2 (ja) * 2015-12-04 2022-11-08 アプライド マテリアルズ インコーポレイテッド Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
TWI571529B (zh) * 2015-12-18 2017-02-21 國立清華大學 具導流板之封閉式流道反應槽系統
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
DE102016108845A1 (de) * 2016-05-12 2017-11-16 Stephan Wege Gasinjektor für Reaktorbereiche
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
DE102018130139A1 (de) * 2018-11-28 2020-05-28 Aixtron Se Gaseinlassvorrichtung für einen CVD-Reaktor
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117400A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. A component, method of manufacturing a component, and method of cleaning a component
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20230170130A (ko) * 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6922959B2 (ja) * 2019-09-20 2021-08-18 株式会社明電舎 酸化膜形成装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US12012653B2 (en) * 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US12018372B2 (en) * 2021-05-11 2024-06-25 Applied Materials, Inc. Gas injector for epitaxy and CVD chamber
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11978620B2 (en) 2021-08-12 2024-05-07 Kla Corporation Swirler for laser-sustained plasma light source with reverse vortex flow
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU598630A1 (ru) * 1974-08-15 1978-02-21 Предприятие П/Я Р-6707 Устройство ввода газов в реакционную камеру
JPS62120477A (ja) * 1985-11-19 1987-06-01 Canon Inc 成膜装置
US5105761A (en) * 1989-10-19 1992-04-21 Commissariat A L'energie Atomique Diffusion plasma-assisted chemical treatment apparatus

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
JPS54111771A (en) * 1978-02-22 1979-09-01 Toshiba Corp Gas phase reaction unit of semiconductor substrate
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
CH643469A5 (fr) * 1981-12-22 1984-06-15 Siv Soc Italiana Vetro Installation pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide.
US4537795A (en) * 1982-09-16 1985-08-27 Sovonics Solar Systems Method for introducing sweep gases into a glow discharge deposition apparatus
US4499853A (en) * 1983-12-09 1985-02-19 Rca Corporation Distributor tube for CVD reactor
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5391232A (en) * 1985-12-26 1995-02-21 Canon Kabushiki Kaisha Device for forming a deposited film
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
DE3738352A1 (de) * 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
DE68924413T2 (de) * 1989-01-25 1996-05-02 Ibm Radiofrequenzinduktion/Mehrpolplasma-Bearbeitungsvorrichtung.
JPH02234419A (ja) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
JPH02295116A (ja) * 1989-05-10 1990-12-06 Mitsubishi Electric Corp 半導体製造装置
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
US5304247A (en) * 1990-09-21 1994-04-19 Fujitsu Limited Apparatus for depositing compound semiconductor crystal
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP3375646B2 (ja) * 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US5468298A (en) * 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU598630A1 (ru) * 1974-08-15 1978-02-21 Предприятие П/Я Р-6707 Устройство ввода газов в реакционную камеру
JPS62120477A (ja) * 1985-11-19 1987-06-01 Canon Inc 成膜装置
US5105761A (en) * 1989-10-19 1992-04-21 Commissariat A L'energie Atomique Diffusion plasma-assisted chemical treatment apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101355010B (zh) * 2007-07-26 2010-11-24 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置及反应腔室

Also Published As

Publication number Publication date
KR19990066993A (ko) 1999-08-16
ATE275213T1 (de) 2004-09-15
WO1997015698A1 (en) 1997-05-01
DE69633295D1 (de) 2004-10-07
EP0870072A4 (en) 2002-05-02
KR100434749B1 (ko) 2004-11-06
JPH11514499A (ja) 1999-12-07
TW356554B (en) 1999-04-21
US5851294A (en) 1998-12-22
AU7450596A (en) 1997-05-15
EP0870072A1 (en) 1998-10-14
EP0870072B1 (en) 2004-09-01
HK1015420A1 (en) 1999-10-15
CN1200773A (zh) 1998-12-02

Similar Documents

Publication Publication Date Title
CN1115425C (zh) 用于半导体处理的气体注射系统
CN100336165C (zh) 用于半导体处理系统的注气装置
US4509456A (en) Apparatus for guiding gas for LP CVD processes in a tube reactor
JP5777615B2 (ja) Cvdチャンバの流れ制御機構
US8465801B2 (en) Gas mixer and manifold assembly for ALD reactor
CN104319249B (zh) 用于处理微电子工件的设备
US7431772B2 (en) Gas distributor having directed gas flow and cleaning method
WO1997003223A1 (en) Gas distribution apparatus
US20060096540A1 (en) Apparatus to manufacture semiconductor
CN109075023A (zh) 用于提供均匀流动的气体的设备和方法
US20030198740A1 (en) Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
WO2013070438A1 (en) Precursor distribution features for improved deposition uniformity
CN1157496C (zh) 气体分配系统
KR20060059305A (ko) 반도체 공정 장비
KR20210102337A (ko) 기판 프로세싱 챔버들을 위한 펌핑 장치 및 방법
CN110904437A (zh) 薄膜制备设备及其反应腔室
WO2024078175A1 (zh) 一种气体分配件、气体输送装置及其薄膜处理装置
KR20130074413A (ko) 기판처리장치
CN117438277B (zh) 匀流组件、进气装置及半导体设备
JP2009516077A (ja) Ald反応容器
KR101265905B1 (ko) 배기가스를 분리 배출하는 원자층 증착 장치
US11049699B2 (en) Gas box for CVD chamber
JP5588782B2 (ja) 薄膜形成装置及び薄膜形成方法
CN219972456U (zh) 匀气装置和半导体工艺设备
US20230064637A1 (en) Clamped dual-channel showerhead

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Applicant after: Applied Materials Inc.

Applicant before: Watkins-Johnson Co.

COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: WATKINS JOHNSON + JOHNSON TO: APPLICATION MATERIAL LTD.

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030723

Termination date: 20131018