CN104822866B - 具有可互换气体喷射器的沉积系统和相关的方法 - Google Patents

具有可互换气体喷射器的沉积系统和相关的方法 Download PDF

Info

Publication number
CN104822866B
CN104822866B CN201380061334.3A CN201380061334A CN104822866B CN 104822866 B CN104822866 B CN 104822866B CN 201380061334 A CN201380061334 A CN 201380061334A CN 104822866 B CN104822866 B CN 104822866B
Authority
CN
China
Prior art keywords
gas
gas flow
injector
plate
flow channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201380061334.3A
Other languages
English (en)
Other versions
CN104822866A (zh
Inventor
C·卡尼扎瑞斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Soitec SA
Original Assignee
Soitec SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Soitec SA filed Critical Soitec SA
Publication of CN104822866A publication Critical patent/CN104822866A/zh
Application granted granted Critical
Publication of CN104822866B publication Critical patent/CN104822866B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23PMETAL-WORKING NOT OTHERWISE PROVIDED FOR; COMBINED OPERATIONS; UNIVERSAL MACHINE TOOLS
    • B23P19/00Machines for simply fitting together or separating metal parts or objects, or metal and non-metal parts, whether or not involving some deformation; Tools or devices therefor so far as not provided for in other classes
    • B23P19/04Machines for simply fitting together or separating metal parts or objects, or metal and non-metal parts, whether or not involving some deformation; Tools or devices therefor so far as not provided for in other classes for assembling or disassembling parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides

Abstract

一种沉积系统,该沉积系统包括能够在该沉积系统的腔室中可互换地使用的两个或更多个气体喷射器。所述气体喷射器中的每个均可以被构造成在基板支撑结构上产生一片流动气体。这些片的流动气体可以具有不同宽度,从而所述气体喷射器可以与具有不同直径的基板一起使用,这可以使用在不同基板的情况下使用所述系统,同时保持有效利用前体气体。形成这种沉积系统的方法包括将这种气体喷射器形成和构造成可在沉积腔室内的公共位置处可互换地使用。使用这种沉积系统的方法包括使用两个或更多个这种气体喷射器以将材料沉积在具有不同尺寸的基板上。

Description

具有可互换气体喷射器的沉积系统和相关的方法
技术领域
本发明涉及一种涉及具有可互换气体喷射器的沉积系统以及制造和使用这种沉积系统的方法。
背景技术
半导体结构是在制造半导体装置时使用或形成的结构。半导体装置例如包括电子信号处理器、电子存储装置、光敏装置(例如,发光二极管(LED)、光伏(PV)装置等等)和微机电(MEM)装置。这种结构和材料经常包括一种或多个半导体材料(例如,硅、锗、碳化硅、III-V半导体材料等等),并且可以包括至少一部分集成电路。
半导体结构经常使用许多化学沉积过程和系统中的任一种来制造。例如,化学气相沉积(CVD)是一种用来在基板上沉积固体材料的化学沉积过程,并且在制造半导体结构中通常都采用这种化学气相沉积。在化学气相沉积过程中,将基板暴露于一种或多种试剂气体,所述一种或多种试剂气体以导致固体材料沉积在基板表面上的方式反应、分解或既反应又分解。
一种具体类型的CVD过程在现有技术中被称为气相外延(VPE)。在VPE过程中,基板在沉积腔室内暴露于一种或多种试剂蒸气,所述一种或多种试剂蒸气以导致固体材料外延沉积在基板表面上的方式反应、分解或既反应又分解。VPE过程经常用来沉积III-V半导体材料。当VPE过程中的其中一种试剂蒸气包含氢化物蒸气时,该过程可以被称为氢化物气相外延(HVPE)过程。
HVPE过程用来形成III-V半导体材料,诸如例如氮化镓(GaN)。例如,GaN在基板上的外延生长是由于在沉积腔室内以在大约500℃和大约1,100℃之间的高温下执行的氯化镓(GaCl)蒸气和氨(NH3)之间的气相反应产生的。NH3可以从标准的NH3气体源供应。
在一些方法中,通过在被加热的液体嫁(Ga)上传送氯化氢(HCl)气体(氯化氢气体可以从标准的HCl气体源来供应)以在沉积腔室内原地产生GaCl来提供GaCl蒸气。液体稼可以被加热到大约750℃和大约850℃之间的温度。可以将GaCl和NH3引导至被加热的基板(例如,半导体材料的晶片)的表面(例如表面上)。于2001年1月30日授予Solomon等人的美国专利No.6,179,913公开了用于在这种系统和方法中使用的气体喷射系统。在这种系统中,可能必须将沉积腔室打开而通向大气,以补充液体稼的源。此外,可能无法在这种系统中就地清洁沉积腔室。
为了解决这些问题,已经提供了利用CaCl3前体(precursor)的外部源的方法和系统,该GaCl3前体被热分解以形成GaCl(和副产品Cl2),GaCl被直接喷射到沉积腔室内。这种方法和系统的示例例如在美国专利申请公报No.US2009/0223442A1(该专利申请以Arena等人的名义在2009年9月10日公开)中公开。
在之前的已知构造中,前体GaCl可以通过大体平坦的气体喷射器喷射到腔室内,该气体喷射器具有发散的内侧壁(经常被称为“帽舌”或“帽舌喷射器”)。前体NH3可以通过多端口喷射器喷射到腔室内。在喷射到腔室内时,前体最初由延伸至接近基板边缘位置的帽舌喷射器的顶板分离。当前体到达顶板的末端时,前体混合并反应而在基板上形成一层GaN材料。
发明内容
提供该发明内容是为了以简化形式介绍概念的选择。这些概念在下面公开的示例实施方式的详细描述中进一步地详细描述。该发明内容并不是为了确定所要求保护的主题内容的关键特征或实质特征,也不是为了用来限制所要求保护的主题内容的范围。
在一些实施方式中,本公开包括沉积系统,该沉积系统具有:沉积腔室;具有上支撑表面的基板支撑结构,该上支撑表面被构造成在所述沉积腔室内支撑基板;和至少两个气体喷射器,所述至少两个气体喷射器中的每个气体喷射器均被构造成可互换地安置在所述沉积腔室内的公共位置。所述至少两个气体喷射器中的每个气体喷射器均可以被构造成在所述沉积系统的操作期间在所述基板支撑结构上产生一片大体层状的流动气体。所述至少两个气体喷射器中的第一气体喷射器可以包括两个邻接的板,所述两个邻接的板限定了位于这两个邻接的板之间的一个或多个气体流动通道。所述第一气体喷射器的所述一个或多个气体流动通道可以被定位并构造成产生具有第一最大宽度的一片大体层状的流动气体,所述第一最大宽度横向于在平行于所述基板支撑结构的所述上支撑表面的气体流动平面中的气体流动方向。所述至少两个气体喷射器中的第二气体喷射器可以包括两个邻接的板,所述两个邻接的板限定了位于这两个邻接的板之间的一个或多个气体流动通道。所述第二气体喷射器的所述一个或多个气体流动通道可以被定位并构造成产生具有第二最大宽度的第二片大体层状的流动气体,所述第二最大宽度横向于所述气体流动平面中的所述气体流动方向,所述第二最大宽度可以小于所述所述第一最大宽度。
在其他实施方式中,本公开包括制造如这里描述的沉积系统的方法。根据这些方法,可以提供沉积腔室,并且可以在所述沉积腔室内提供基板支撑结构。该基板支撑结构可以具有被构造成支撑基板的上支撑表面。可以通过形成两个板并且将这两个板连接在一起从而在所述邻接的板之间限定一个或多个气体流动通道而形成第一气体喷射器。所述一个或多个气体流动通道可以被定位和构造成产生具有第一最大宽度的第一片大体层状的流动气体,所述第一最大宽度横向于在平行于所述基板支撑结构的所述上支撑表面的气体流动平面中的气体流动方向。可以通过形成两个板并且将这两个板连接在一起从而在所述邻接的板之间限定一个或多个气体流动通道而形成第二气体喷射器。所述一个或多个气体流动通道可以被定位和构造成产生具有第二最大宽度的第二片大体层状的流动气体,该第二最大宽度横向于在平行于所述基板支撑结构的所述上支撑表面的气体流动平面中的所述气体流动方向,所述第二最大宽度可以小于所述第一最大宽度。可以将所述第一气体喷射器和所述第二气体喷射器构造成可在所述沉积腔室内的公共位置处互换地使用。
在另外其他实施方式中,本公开包括使用如这里描述的沉积系统的方法。根据这些方法,可以将第一气体喷射器安装在沉积腔室内。该第一气体喷射器可以包括两个邻接的板,在所述两个邻接的板之间限定一个或多个气体流动通道。可以将第一基板定位在所述沉积腔室内,并且可以使用所述第一气体喷射器在所述第一基板上产生第一片大体层状的流动气体,以利用该第一片大体层状的流动气体将材料沉积在所述第一基板上。所述第一片大体层状的流动气体可以具有横向于该第一片大体层状的流动气体中的气体流动方向的第一最大宽度。可以在将材料沉积在所述第一基板上之后将所述第一基板从所述沉积腔室移除,并且可以将第二气体喷射器安装在所述沉积腔室内。该第二气体喷射器可以包括两个邻接的板,在所述两个邻接的板之间限定一个或多个气体流动通道。可以将第二基板定位在所述沉积腔室内。所述第二基板可以具有小于所述第一基板的直径的直径。在将所述第二定位在所述沉积腔室内之后,可以使用所述第二气体喷射器在所述第二基板上产生第二片大体层状的流动气体,以利用该第二片大体层状的流动气体将材料沉积在所述第二基板上。所述第二片大体层状的流动气体可以具有横向于该第二片大体层状的流动气体中的气体流动方向的第二最大宽度,并且所述第二最大宽度可以小于所述第一最大宽度。
附图说明
图1A是示意性示出了根据本公开的实施方式的包括气体喷射器的沉积系统的示例实施方式的剖切立体图。
图1B是示意性示出了根据本公开的实施方式的包括气体喷射器的沉积系统的另一个示例实施方式的剖切立体图。
图2是第一气体喷射器的分解立体图,该第一气体喷射器可以与图1A和图1B所示的沉积系统中的任一个系统一起使用,并且包括底板、中间板和顶板。
图3是图2的底板的俯视图。
图4是图2的顶板的俯视图。
图5是图2的中间板的仰视图,示出了形成在其中净化气体流动通道。
图6是图2的中间板的俯视图,示出了形成在其中的前体气体流动通道。
图7是组装后的图2的气体喷射器(包括底板、中间板和顶板)的一部分以及将沿着中间板和顶板的周边边缘将中间板联接至顶板的焊缝的局部剖视图。
图8是可以与图1A和图1B所示的沉积系统中的任一个系统一起使用的第二气体喷射器的分解立体图。
图9是图8的中间板的俯视平面图,示出了形成在其中的前体气体流动通道。
图10是可以与图1A和图1B所示的沉积系统中的任一个系统一起使用的第三气体喷射器的分解立体图。
图11是图10的中间板的俯视图,示出了形成在其中的前体气体流动通道。
具体实施方式
这里所呈现的图示并非是指任何具体沉积系统、气体喷射器或其部件的实际视图,而仅仅是用来描述本公开的实施方式的理想化表示。
如这里使用的,术语“基本上”在参考给定参数、特性或条件时是指这样一种程度,即本领域技术人员将理解该给定参数、特性或条件符合变化程度,例如符合可接受制造公差。
如这里使用的,术语“气体”是指并包括既不具有独立形状又不具有体积的流体。气体包括蒸气。因而,当在这里使用术语“气体”时,可以将其解释为“气体或蒸气”的含义。
如这里使用的,短语“氯化稼”是指并包括可以单体形式(GaCl3)或二聚体形式(Ga2Cl6)存在的一种或多种一氯化稼或多氯化稼。例如,氯化稼可以基本上由一氯化稼构成,基本上由多氯化稼构成,或基本上由一氯化稼和多氯化稼二者构成。
本公开包括可以用来使气体朝向基板流动以利用该气体在基板表面上沉积或以其他方式形成材料(例如,半导体材料)的系统、装置和方法。下面进一步详细地公开这种系统、装置和方法和示例。
图1A示出了根据本公开的沉积系统10的示例。沉积系统10包括至少基本上封闭的沉积腔室12、具有被构造成在沉积腔室12内支撑基板36的上支撑表面的基板支撑结构34以及被构造成可互换地安置在沉积腔室12内的公共位置处的至少两个气体喷射器100(图1A中仅示出了其中一个)。这里参照图2至11进一步详细地描述这种气体喷射器100。在一些实施方式中,沉积系统10可以包括CVD系统,并且可以包括VPE沉积系统(例如,HVPE沉积系统)。
沉积腔室12可以包括一个或多个腔室壁。例如,该腔室可包括水平取向的顶壁24、水平取向的底壁26以及在顶壁24和底壁26之间延伸的一个或多个竖直取向的横向侧壁28。在一些实施方式中,沉积腔室12可以具有长形的矩形棱柱的几何形状,如图1A所示。在其他实施方式中,沉积腔室12可以具有另一种几何形状。
沉积系统10包括基板支撑结构34(例如,基座),该基板支撑结构具有被构造成在沉积腔室12内支撑一个或多个工件基板36的上支撑表面,希望在沉积系统10内在该工件基板36上沉积或以其他方式提供半导体材料。例如,所述一个或多个工件基板36可以包括晶元或晶片,如图1A所示,基板支撑结构34可以被联接至主轴39,该主轴39可以被联接(例如,在结构上直接联接或磁性联接等等)至诸如电马达之类的驱动装置(未示出),该驱动装置被构造成驱动主轴39的旋转,并因而驱动在沉积腔室12内的基板支撑结构34和支撑在其上的工件基板或基板36的旋转。
沉积系统10进一步包括用来使处理气体流过沉积腔室12的气体流动系统。例如,沉积系统10可以包括:至少一个气体喷射系统30,该至少一个气体喷射系统30用于将一种或多种处理气体在第一位置13A处喷射到沉积腔室12内;以及排气及装载子组件32,该排气及装载子组件32包括真空装置33,用于将所述一种或多种处理气体从第一位置13A通过沉积腔室12抽吸到第二位置13B并用于在第二位置13B处将所述一种或多个处理气体从沉积腔室12排空。排气及装载子组件32用来将处理气体从沉积腔室12排出,并且用于将基板装载到沉积腔室12内并将基板从沉积腔室12卸载。在一些实施方式中,气体喷射系统30可以位于沉积腔室12的第一端处,而排气及装载子组件可以位于沉积腔室12的相对的第二端处,如图1A所示。
气体喷射系统30可以包括例如气体喷射歧管,该气体喷射歧管包括被构造成与承载来自处理气体源的一种或多个处理气体的管道联接的连接器。如下面进一步讨论的那样,沉积系统10的气体喷射系统30进一步包括如这里参照图2至11进一步详细描述的一组两个或更多个可互换气体喷射器100,所述气体喷射器100可以可互换地安置在沉积腔室12内以用沉积过程之用。每个气体喷射器100可以被构造成在沉积系统10的操作期间在基板支撑结构34上产生一片大体层状的流动气体。
继续参照图1A,沉积系统10可以包括将气体从相应的处理气体源42A-42E带到气体喷射系统30的五个气体流入管道40A-40E。可选的是,可以使用气体阀41A-41E来分别选择性地控制通过气体流入管道40A-40E的气体的流动。
在一些实施方式中,气体源42A-42E中的至少一个可以包括如在美国专利申请公报No.2009/0223442A1中描述的GaCl3、InCl3或AlCl3中的至少一个的外部源,通过参考将该专利申请的公开全部结合在本文中。GaCl3、InCl3和AlCl3可以分别以例如Ga2Cl6、In2Cl6或Al2Cl6之类的二聚体的形式存在。因而,所述气体源42A-42E中的至少一个可以包括诸如Ga2Cl6、In2Cl6或Al2Cl6之类的二聚体。
在其中气体源42A-42E中的一个或多个是或包括GaCl3源的实施方式中,该源可以包括保持在至少100℃(例如,近似130℃)的温度的液体GaCl3的储存器,并且可以包括用于增强液体GaCl3的蒸发率的物理装置。这种物理装置可以包括例如被构造成搅拌液体GaCl3的装置、被构造成喷洒液体GaCl3的装置、被构造成使载体气体迅速在液体GaCl3上流动的装置、被构造成使载体气体通过液体GaCl3起泡的装置、被构造成超声分散液体GaCl3等诸如压电装置之类的装置等等。作为非限制性示例,诸如He、N2、H2或Ar之类的载体气体可以通过液体GaCl3起泡,同时液体GaCl3保持在至少100℃的温度,从而源气体可以包括其中传送前体气体的一种或多个载体气体。
在一些实施方式中,气体流入管道40A-40E的温度可以被控制在气体源42A-42E与沉积腔室12之间。气体流入管道40A-40E以及相关的质量流动传感器、控制器等等的温度可以从相应的气体源42A-42E的出口处的第一温度(例如,大约100℃或更高)逐渐地一直增加至在沉积腔室12的入口点处的第二温度(例如,大约150℃或更低),以便防止气体流入管道40A-40E中的气体(例如,GaCl3蒸气)冷凝。可选的是,相应的气体源42A-42E与沉积腔室12之间的气体流入管道40A-40E的长度可以为大约三英尺或更小、大约两英尺或更小,或者甚至大约一英尺或更小。可以使用一个或多个压力控制系统控制源气体的压力。
在另外的实施方式中,沉积系统10可以包括少于五个(例如,一个到四个)的气体流入管道和相应的气体源,或者沉积系统10可以包括多于五个(例如,六个、七个等)气体流入管道和相应的气体源。
所述气体流入管道40A-40E中的一个或多个延伸至气体喷射系统30。气体喷射系统30可以包括歧管,该歧管包括一个或多个材料块,处理气体穿过该材料块而被携带到沉积腔室12内。一个或多个冷却管道31可以延伸穿过材料块。可以使冷却流体流过所述一个或多个管道31,从而使得经由气体流入管道40A-40E流过所述歧管的一种或多种气体在沉积系统10的操作期间保持在期望温度范围内。例如,可能期望的是将经由气体流入管道40A-40E流过所述歧管的一种或多种气体在沉积系统10的操作期间保持小于大约200℃(例如,大约150℃)的温度。
继续参照图1A,排气及装载子组件32可以包括真空腔室94,流过该沉积腔室12的气体通过该真空腔室94内的真空而被抽吸到该真空腔室94内,并从沉积腔室12排出。真空腔室94内的真空由真空装置33产生。如图1A所示,该真空腔室94可以位于沉积腔室12的下方。
该排气及装载子组件32可以进一步包括净化气体帘幕装置96,该净化气体帘幕装置96被构造成并定向成提供流动的净化气体的大致平坦的帘幕,该帘幕从净化气体帘幕装置96流出到真空腔室94内。排气及装载子组件32还可以包括通道门88,该通道门88可以被选择性地打开以装载工件基板36和/或从基板支撑结构34卸载工件基板36,并且可以被选择性地关闭以使用沉积系统10来处理工件基板36。在一些实施方式中,通道门88可以包括被构造成在关闭的第一位置和打开的第二位置之间移动的至少一个板。在一些实施方式中,通道门88可以延伸穿过沉积腔室12的侧壁。
沉积腔室12可以至少基本封闭的,并且在通道门88的板位于关闭的第一位置时,防止通过通道门88接近基板支撑结构34。当通道门88的板位于打开的第二位置时,可以通过通道门88接近基板支撑结构34。由净化气体帘幕装置96排出的净化气体帘幕可以减少或防止在工件基板36的装载和/或卸载期间气体从沉积腔室12流出。
气态的副产品、载体气体和任何过多的前体气体可以通过排气及装载子组件32从沉积腔室12排放掉。
沉积系统10可以包括多个热辐射发射器14,如图1A所示。热辐射发射器14被构造成在电磁辐射光谱的红外区和可见区中的至少一个区中发射在电磁辐射的波长范围内的热辐射。例如,热辐射发射器14可以包括被构造成发射呈电磁辐射形式的热能的热灯(未示出)。在一些实施方式好,该热辐射发射器14可以与底壁26相邻地位于沉积腔室12的外部且位于该沉积腔室12下方。在附加的实施方式中,热辐射发射器14可以与顶壁24相邻地位于沉积腔室12上方、与一个或多个横向侧壁28相邻地位于沉积腔室12旁边、或者这些位置的组合。
热辐射发射器14可以以多行热辐射发射器14的形式布置,每行热辐射发射器可以彼此独立地进行控制。换言之,由每行热辐射发射器14发射的热能可以是独立地可控的。这些行可以横向于通过沉积腔室2的净气体流动而取向,从图1A的立体图来说,该方向为从左到右的方向。因而,如果期望的话,独立地控制的多行热辐射发射器14可以用来横跨沉积腔室12的内部提供选择的热梯度。
热辐射发射器14可以位于沉积腔室12外部,并且被构造成穿过沉积腔室12的至少一个腔室壁将热辐射发射到沉积腔室12的内部。因而,所述腔室壁的供热辐射穿过而传送到沉积腔室12内的至少一部分可以包括透明材料,从而允许热辐射有效地传输到沉积腔室12内部。透明材料可以是在如下意义上的透明,即所述材料可以是对于波长与由热辐射发射器14发射的热辐射对应的电磁辐射来说至少基本上透明。例如,由热辐射发射器14发射的撞击在透明材料上的热辐射的至少波长范围的至少大约80%、至少大约90%或甚至至少大约95%可以穿过该透明材料而传送到沉积腔室12内部。
作为非限制性示例,该透明材料可以包括透明耐高温陶瓷材料,诸如透明石英(即,二氧化硅(SiO2))。该透明材料可以是熔融石英。在该公开的进一步实施方式中,可以使用在使用沉积系统10的沉积过程期间对所述经受的温度和环境在物理和化学上稳定且对于由热辐射发射器14发射的热辐射足够透明的任何其他耐高温材料来形成沉积系统10的一个或多个腔室壁。
如图1A所示,在一些实施方式中,热辐射发射器14可以与沉积腔室12的底壁26相邻地在沉积腔室12的外部布置在沉积腔室12的下方。在这种实施方式中,底壁26可以包括透明材料诸如透明石英,从而允许由热辐射发射器14发射的热辐射如上所述那样传输到沉积腔室12内。当然,热辐射发射器14可以相邻于沉积腔室12的其他腔室壁设置,并且这些腔室壁的至少一部分也可以包括这里描述的透明材料。
可选地,无源热传递结构(例如,包括与黑体类似地作用的材料的结构)可以位于沉积腔室12内,以改善热向沉积腔室12内的处理气体的传递。
例如,可以如在2009年8月27日以Arena等人的名义公布的美国专利申请公报No.US2009/0214785A1中公开的那样将无源热传递结构(例如,包括与黑体类似地作用的材料的结构)设置在沉积腔室12内,通过参考将该申请的全部公开结合在这里。举例来说(不是限制性的),一个或多个无源热传递板48可以位于沉积腔室12的顶壁24和底壁26之间,如图1A所示。这种无源热传递板48可以改善由热辐射发射器14提供的热向沉积腔室12内的处理气体的传递,并且可以改善沉积腔室12内的温度的均匀性和一致性。所述一个或多个无源热传递板48可以包括也能够承受在沉积腔室12内可能遇到的高温、腐蚀环境的具有高发射率值(接近于一)的材料(黑体材料)。这种材料例如可以包括氮化铝(AlN)、碳化硅(SiC)和碳化硼(B4C),它们分别具有0.98、0.92和0.92的发射率值。因而,所述一个或多个无源热传递板48可以吸收由热辐射发射器14发射的热能,并且将该热能再次发射到沉积腔室12内以及其中的处理气体或多种处理气体内。
如之前提及的,沉积系统10的气体喷射系统30进一步包括一组至少两个气体喷射器100,每个气体喷射器100均被构造成可互换地安置在沉积腔室12内的公共位置处。每个气体喷射器100都可以被构造成在沉积腔室10的操作期间在基板支撑结构34上产生一片大致层状的流动气体。下面参照图2至11进一步详细地描述这样的一组气体喷射器100。
图1B示出了根据本公开的实施方式的另一个沉积系统10A。图1B的沉积系统10A在某些方面类似于图1A的沉积系统10。因而,在合适的情况下,出于简便,在图1B中使用至少一些与图1A相同或类似的编号,以图示图1B的沉积系统10A和图1A的沉积系统10之间的相似性。
图1B的沉积系统10A包括至少基本上封闭的沉积腔室12A、被构造成在沉积腔室12A内支撑一个或多个基板的具有上支撑表面的基板支撑结构34A和至少两个气体喷射器100(图1B中仅示出了一个),所述气体喷射器被构造成可互换地安置在沉积腔室12内的公共位置处,如下面参照图2至11进一步详细地描述的那样。沉积腔室12A可以至少基本上类似于以上参照图1A描述的沉积腔室12,尽管在图1B中沉积腔室12A被示出为具有从其顶壁24A、侧壁、和底壁26A延伸的结构肋11。基板支撑结构34A(例如,基座)可以被构造成支撑多个基板(例如,晶元、晶片),期望在沉积系统10A内在所述多个基板上沉积或以其他方式提供半导体材料。主轴39可以被构造成如上所述那样驱动基板支撑结构34A的旋转。
沉积系统10A进一步包括用来使处理气体流过沉积腔室12A的气体流动系统。例如,沉积系统10A可以包括:至少一个气体喷射系统30A,该至少一个气体喷射系统30A用于在第一位置15A处将一种或多种处理气体喷射到沉积腔室12内;和排气系统32A,该排气系统32A包括真空装置33和真空腔室94A,用于将一种或多种处理气体从第一位置15A经过沉积腔室12A抽吸到第二位置15B,并且用于在第二位置15B处将所述一种或多种处理气体从沉积腔室12A排空。气体喷射系统30A可以包括气体流入管道43A和43B,类似于图1A的气体流入管道40A-40E,该气体流入管道43A-43B将来自处理气体源的气体带到沉积腔室12A内。图1B的气体流入管道43A可以包括用于产生处理气体并将该处理气体输送到沉积腔室12A的热化气体喷射器。在如下专利中公开这种热化气体喷射器的示例:美国专利No.8,197,597,授权日为2012年6月12日,名称为“GALLIUM TRICHLORIDE INJECTION SCHEME”;美国专利申请序列号No.12/894,724,2010年9月30日提交,名称为“THERMALIZING GAS INJECTORSFOR GENERATING INCREASED PRECURSOR GAS,MATERIAL DEPOSITION SYSTEMS INCLUDINGSUCH INJECTORS,AND RELATED METHODS”;以及美国专利No.8,133,806,授权日为2012年3月13日,名称为“SYSTEMS AND METHODS FOR FORMING SEMICONDUCTOR MATERIALS BYATOMIC LAYER DEPOSITION”。通过参考将这些文献中的每个文献的公开整体结合在本文中。
继续参照图1B,气体喷射系统30A可以包括用于将工件基板装载到沉积腔室12A内和/或用于将工件基板从沉积腔室12A卸载的狭槽50。因而,工件基板的装载和卸载可以在处理气体的流动中在基板支撑结构34A的上游接近第一位置15A处来完成,而不是如以上参照图1A所述那样在基板支撑结构34的下游完成。
图2示出了第一气体喷射器100A的分解立体图,该第一气体喷射器100A被构造成安置在图1A的沉积系统10的沉积腔室12内或图1B的沉积系统10A的沉积腔室12A。为了简便起见,下面针对图1A的沉积系统10描述图2至11,不过应该理解的是,同样的构思也将适合于图1B的沉积系统10A。如图2所示,第一气体喷射器100A包括底板102、布置在底板102上的中间板104A以及在其与底板102相反的一侧布置在中间板104上的顶板106A。
在操作期间,由第一气体喷射器100A喷射的气体可以在通过第一气体喷射器100A喷射到沉积腔室12内之前被加热。在2010年2月17日提交、名称为“GAS INJECTORS FOR CVDSYSTEMS WITH THE SAME”的国际公报No.WO 2010/101715 A1中公开了在喷射到沉积腔室12内之前加热氯化稼前体气体的一种方法,通过参考将该申请的公开全部结合在本文中。该前体气体可以被预先加热到大约500℃以上。在一些实施方式中,该前体可以被预先加热到大约650℃以上,诸如大约700℃和大约800℃之间。在被加热之前,氯化稼前体可以基本由可能以单体形式(GaCl3)形式或二聚体形式(Ga2Cl6)存在的多氯化稼构成。在加热和/或喷射到沉积腔室12中时,至少一部分GaCl3可以被热分解成例如一氯化稼(GaCl)和其他副产品。因而,在沉积腔室12中,氯化稼前体可以基本由GaCl构成,不过还可以存在一些GaCl3。另外,在喷射前体气体之前可以将上板36加热至例如大约500℃以上。在一些实施方式中,基板36可以被预先加热至大约900℃和大约1100℃之间的温度。
第一气体喷射器100A的部件,包括底板102、中间板104和顶板106可以均由在操作条件(例如,化学品、温度、流量、压力等)下能够足以保持其形状的任何材料形成。另外,第一气体喷射器100A的部件的材料可以被选择成抑制与流过第一气体喷射器100A的气体(例如,前体)发生反应。举例来说(不是限制性的),所述部件中的一个或多个可以由金属、陶瓷和聚合物中的一个或多个形成。在一些实施方式中,所述部件中的一个或多个可以至少基本由石英(例如,进行了火抛光的透明熔融石英)构成。在一些实施方式中,所述部件中的一个或多个可以包括SiC材料。所述部件中的一个或多个可以利用诸如10%氢氟(HF)酸溶液进行清洁以减少沉积腔室12中的污染物,之后利用例如蒸馏水和/或去离子水进行冲洗。
参照图3并结合图2,底板102可以具有基本平坦的上表面108。侧壁110可以从上表面108沿着底板102的周边边缘延伸。净化气体入口112可以延伸穿过底板102。净化气体入口112的尺寸和构造可以被确定为使得净化气体能够从沉积腔室12的外部流过净化气体入口112。孔114可以还延伸穿过底板102,孔114的尺寸和构造可以被确定为接收中间板104的前体气体入口杆,如下面将更详细地描述的那样。底板102的出口侧116可以至少部分地由大致弧形(例如,半圆形)表面限定,该表面的尺寸和构造可以被确定为接近供材料沉积的基板36定位。
参照图4并结合图2,顶板106A可以是尺寸和构造被确定为与底板102和中间板104A组装在一起的基本平坦的构件。在一些实施方式中,顶板106A的尺寸和构造可以被确定为装配在中间板104A上以及至少部分地装配在底板102的侧壁110内。顶板106可以具有出口侧118,该出口侧118可以至少部分地由弧形(例如,大致半圆形)表面限定,该表面的尺寸和构造可以被确定为接近供材料沉积的基板36定位。沿着顶板106A的出口侧118可以形成凹口120,以便于在凹口120处在顶板106A和中间板104A之间形成焊缝。
顶板106A和中间板104A可以连接在一起并且可以被构造成在顶板106A和中间板104A之间限定一个或多个气体流动通道。该气体流动通道可以被定位并构造成在基板36的表面上产生由第一气体喷射器100A输出的一片大致层状的流动气体(图1A)。
例如,参照图5和6并结合图2,第一气体喷射器100A的中间板104A可以具有底表面122(图5)和上表面124(图6),在该底表面122中形成用于流动气体(例如,净化气体)的一个或多个特征,在该上表面124中形成用于流动气体(例如,前体气体)的一个或多个特征。如图5中所示,净化气体流动通道126可以形成在底表面122中,使得净化气体可以从底板102的净化气体入口112(图2和3)流动到净化气体出口128。因而,当中间板104A被与底板102相邻地布置时,净化气体流动通道126可以与底板102的净化气体入口112(图2和3)流体流通。可选地,如果净化气体要从第一气体喷射器100A的中心区域流出,则居中定位的净化气体通道130还可以形成在中间板104A的底表面122中。中间板104A可以具有出口侧132,该出口侧至少部分地由弧形(例如,大致半圆形)表面限定,该表面的尺寸和构造被确定为接近将要在其上形成材料的基板36定位。唇部134(图5)可以从底表面122沿着出口侧132延伸。当与底板102组装在一起时,中间板104的唇部134可以在底板102的大致半圆形出口侧116上悬置和延伸。如图5中所示,居中定位的净化气体通道130可以具有接近唇部134但不穿过唇部134的出口136。因而,在操作期间,流过居中定位的净化气体通道130的净化气体可以在中间板104A的出口侧132处由唇部134横跨中间板104A的底表面的周边而分散。
如图5所示,气体入口杆138可以从中间板104A的底表面122延伸。该气体入口杆138的尺寸和构造可以被确定为至少部分地布置在底板102(图2和3)中的孔114内(例如延伸穿过孔114)。入口140(即孔)可以延伸穿过气体入口杆138以提供至中间板104A的上表面124的流体连通。中间板104A的尺寸和构造可以被确定为与底板102和顶板106A组装在一起以形成第一气体喷射器100A。例如,中间板104A可以至少部分地装配在底板102的侧壁110内(图2和3),并且在与其组装在一起时基本完全位于顶板106A下方。
参照图6并结合图2,第一中间板104A的上表面124可以包括用于使气体从入口140流动到中间板104A的出口侧132并最终位于接近第一气体喷射器100A定位的基板36(图1A)上的一个或多个特征。例如,如图2和6所示,可以在中间板104A的上表面124中形成多个纵向延伸的气体流动通道142。至少一个横向延伸的分配气体流动通道144可以提供入口140与每个气体流动通道142之间的流体连通。如图2和6所示,至少一个横向气体流动通道144可以在至少基本垂直于所述多个气体流动通道142延伸的方向的方向上延伸。在一些实施方式中,每个气体流动通道142在中间板104A的出口侧132处都可以在至少一个横向气体流动通道144处相对狭窄,而在气体流动通道142的出口处相对宽阔,如图2和6所示。在一些实施方式中,每个气体流动通道142都可以由相对狭窄的入口部分、相对宽阔的出口部分和位于入口部分和出口部分之间的发散中间部分限定,如图2和6所限定的。
所述多个气体流动通道142可以能够改善气体在基板36上的分布。例如,气体可以在中间板104A的出口侧132上更均匀地分布,并且最终在基板36上更均匀地分布。另外,与包括用于流动气体的单个中心通道的现有已知构造相比,气体流动通道142可以横跨中间板104A的出口侧132的更宽范围定位。因而,与之前已知的气体喷射器相比,基板36上的气体流动可以相对更为均匀。
参照图7,示出了组装后的第一气体喷射器100A的一部分的局部剖视图。焊缝146沿着中间板104A和顶板106A的至少一个周边外边缘形成以将中间板104A连接至顶板106。焊缝146可以至少基本连续地沿着中间板104A和顶板106A的全部周边外边缘延伸,但是不沿着顶板106A的出口侧118和中间板104A的出口侧132延伸。焊缝146可以将顶板106A密封至中间板104A,并且可以将沿着中间板104A的上表面124的气体的流动从沿着中间板104A的下表面122的净化气体的流动分离。因而,焊缝146可以抑制(例如,减少或消除)在顶板106A和中间板104A之间形成泄漏,并且还可以抑制气体从气体流动通道142不期望地流动到净化气体流动通道126中。在形成第一气体喷射器100A时,在将顶板106A和中间板104A与底板102组装在一起之前可以将顶板106A和中间板104A焊接在一起。举例来说(非限制性的),焊缝146可以由石英形成,该石英被融化而粘附至中间板104A和顶板106A并且随后凝固。如以上指出的,在一些实施方式中,出于机械稳定性考虑,可以在形成在顶板106A中的凹口120(图2和4)处在顶板106A和中间板104A之间形成附加焊缝。在一些实施方式中,可以简单地将连接在一起的中间板104A和顶板106A搁置在底板102上。
再次参照图7,焊缝146可以是通过从焊缝146的一侧(例如,沿着顶板106A和中间板104A的周边外边缘的一侧)施加热而形成的所谓的“冷焊缝”。相比而言,所谓的“热焊缝”是说通过从焊缝的两个相对侧施加热而形成的。热焊缝通常比冷焊缝具有更好的机械稳定性。因而,当期望焊缝经受高机械应力诸如高温、高压压力梯度时通常使用热焊缝。在之前的已知结构中,由于在操作期间在底板中的预期高机械应力,因此可以认为热焊缝用在气体喷射器的顶板和底板之间。然而,由于难以将足够用于形成热焊缝的热源接近焊缝的两个相对侧,因此很难或不可能形成这种热焊缝。另一方面,由于在操作期间在底板中的预期高机械应力,不可能在之前已知的构造中使用冷焊缝。至少由于这些原因,先前已知的气体喷射器一般都由抵靠底板的顶部形成而没有使用任何焊缝。
使用本公开的中间板104A使得可以将焊缝146形成为冷焊缝,这是因为中间板104A和顶板106A中的预期机械应力不会像底板中那样大,并且预期冷焊缝可以承载中间板104A和顶板106中的预期机械应力。如上指出的,焊缝146可以抑制泄漏的形成。
尽管以上参照图5将净化气体流动通道126以及可选的居中定位的净化气体流动通道130描述为形成在中间板104A的底表面122中,但是本公开不限于此。另选地或附加地,一个或多个净化气体流动通道126和居中定位的净化气体流动通道130可以形成在底板102的上表面108中。在这种构造中,中间板104A的底表面122可以基本是平坦的,或者可以还包括形成在其中的净化气体流动通道。类似地,尽管以上参照图2和6将气体流动通道142和至少一个横向气体流动通道144描述为形成在中间板104A的上表面124中,但是本发明不限于此。另选地或附加地,一个或多个气体流动通道142和至少一个横向气体流动通道144可以形成在顶板106中。在这行构造中,中间板104A的上表面124可以基本是平坦的,或者可以还包括形成在其中的气体流动通道。在任何情况下,都可以通过焊缝146抑制中间板和顶板之间的泄漏的形成,这种泄漏可以导致气体不期望地流入到净化气体流动通道内。
再次参照图6,中间板104A的气体流通通道142可以在平行于基板支撑结构(图1A)的上支撑表面的气体流动平面中跨越横向于气体流动方向的最大距离DA,使得它们被构造成在平行于基板支撑结构34的上支撑表面的气体流动平面中形成具有横向于气体流动方向的对应宽度WA的一片大体层状的流动气体。在一些实施方式中,距离DA和对应的宽度WA可以至少接近于并且可以略微大于有待使用气体喷射器100A来沉积材料的工件基板36(图1A)的直径。在一些实施方式中,在第一气体喷射器100A的出口处的第一片大体层状的流动气体的最大宽度WA可以在与第一气体喷射器100A一起使用的工件基板36的最大直径的大约30%以内、大约20%以内、或者甚至大约10%以内。作为非限制性示例,距离DA(以及宽度WA)可以为大约228.6mm,以便与直径为大约220mm或更小的工件基板36一起使用。尽管这种气体喷射器100可以与直径显著小于200mm(例如,150mm或100mm)的工件基板36结合使用,但是由气体喷射器100A喷射的、实际用来将材料沉积在如此小的工件基板36上的气体(前体气体)的量可以减少。因而,当与直径显著小于220mm的工件基板36一起使用气体喷射器100A时,可能降低前体气体的使用效率。
因而,根据本公开的实施方式,沉积系统100可以包括一个或多个气体喷射器,诸如下面参照图8和9描述的第二气体喷射器100B和下面参照图10和11描述的第三气体喷射器100C。气体喷射器100A、100B、100C可以被构造成可互换地安置在沉积腔室12内的公共位置处。每个气体喷射器100A、100B和100C可以具有至少基本相同的外部尺寸,使得气体喷射器100A、100B、100C能够可互换地安置在沉积腔室12内的公共位置处。
图8示出了第二气体喷射器100B的分解立体图,该第二气体喷射器100B大体类似于第一气体喷射器100A,并且包括底板102、第二中间板104B和第二顶板106B。然而,第二气体喷射器100B包括位于中间板104B和顶板106B之间的气体流动通道142,该气体流动通道142横跨小于最大距离DA的最大距离DB,如图9所示,从而它们被构造成在平行于基板支撑结构34(图1A)的上支撑表面的气体流动平面中横向于气体流动方向在气体喷射器100B的出口处产生具有对应宽度WB的较狭窄的一片大体层状的流动气体。在一些实施方式中,位于第二气体喷射器100B的出口处的该第二片大体层状流体的最大宽度WB可以在与第二气体喷射器100B一起使用的工件基板36的最大直径的大约30%以内、大约20%以内或甚至大约10%以内。作为非限制性示例,该最大距离DB(以及宽度WB)可以为大约182.9mm,并且第二气体喷射器100B可以与直径为大约150mm或更小的工件基板36一起使用。
因而,由第二气体喷射器100B输出的一片流动气体的第二最大宽度WB小于由第一气体喷射器100A输出的一片流动气体的第一最大宽度WA。在一些实施方式中,第一最大宽度WA和第二最大宽度WB之差可以至少为大约25毫米(25mm)、至少大约为75毫米(mm)、或甚至至少为大约100毫米(100mm)。
作为非限制性示例,与位于第一喷射器的邻接的第一中间板104A和第一顶板106A之间的气体流动通道142的数量相比,第二气体喷射器100B可以在邻接的第二中间板104B和第二顶板106B之间包括更少的气体流动通道142。例如,在第一气体喷射器100A包括八个(8)气体流动通道142的实施方式中,第二气体喷射器100B可以包括(尺寸和形状至少基本相等的)六个(6)气体流动通道142。然而,在其他实施方式中,第二气体喷射器100B可以具有与第一气体喷射器100A相同数量的气体流动通道142,但是第二气体喷射器100B的气体流动通道142可以更窄,使得它们能够跨越更小的最大距离DB。在第二气体喷射器100B中在入口140和每个气体流动通道142之间提供流体连通的气体流动通道144可以比在第一气体喷射器100A中的气体流动通道144相对更短。第二中间板104B可以连接(例如,焊接)至第二顶板106B。
图10是与第一气体喷射器100A和第二气体喷射器100C大致类似的第三气体喷射器100C的分解立体图,该第三气体喷射器100C包括底板102、第三中间板104C和第三顶板106C。然而,第三气体喷射器100C包括位于中间板104B和顶板106B之间的气体流动通道142,该气体流动通道142跨越比最大距离DA和最大距离DB中的每个都小的最大距离DC,从而使得它们被构造成在平行于基板支撑结构34(图1A)的上支撑表面的气体流动平面中产生在横向于气体的流动方向具有对应宽度WC的更窄的一片大体层状的流动气体。因而,在第三气体喷射器100C的出口处的该片流动气体的第三最大宽度WC小于由第一气体喷射器100A输出的一片流动气体的第一最大宽度WA和由第二气体喷射器100B输出的一片流动气体的第二最大宽度WB。作为非限制性示例,该最大距离DC(和宽度WC)可以为大约131.8mm,并且第三气体喷射器100C可以与直径为大约100mm或以下的工件基板36一起使用。
作为非限制性示例,与位于第一喷射器100A的邻接的第一中间板104A和第一顶板106A之间以及位于第二喷射器100B的邻接的第二中间板104B和第二顶板106B之间的的气体流动通道142的数量相比,第三气体喷射器100C可以在邻接的第三中间板104C和第三顶板106C之间包括更少的气体流动通道142。例如,在第一气体喷射器100A包括八(8)个气体流动通道142并且第二气体喷射器100B包括六(6)个气体流动通道142的实施方式中,第三气体喷射器100C可以包括(尺寸和形状至少基本相等的)四(4)个气体流动通道142。然而,在其他实施方式中,第三气体喷射器100C可以具有与第一气体喷射器100A和第二气体喷射器100B中的每个相同数量的气体流动通道142,但是第三气体喷射器100C的气体流动通道142可以较窄,使得它们能够跨越较小的最大距离DC。在第三气体喷射器100C中在入口140和每个气体流动通道142之间提供流体连通的气体流动通道144可以比在第一气体喷射器100A和第二气体喷射器100B的每个中的气体流动通道144相对更短。与第一和第二气体喷射器100A、100B中一样,第三中间板104C可以连接(例如,焊接)至第三顶板106B。
在一些实施方式中,可以使用相同底板102来形成第一气体喷射器100A、第二气体喷射器100B和第三气体喷射器100C中的每个。换言之,沉积系统100可以包括单个底板102以及两个或更多个组件,每个组件都包括中间板104A、104B、104C以及对应且邻接的顶板104A、104B、104C。这些组件在使用期间可以可互换地与单个底板102一起使用,并且可以简单地搁置在底板102上。在又一些实施方式中,气体喷射器100A、100B、100C可以不包括底板102,而是可以仅仅包括邻接的中间板104A、104B、104C和顶板106A、106B、106C。换言之,在另外的实施方式中,底板102是可选的,并且可以从气体喷射器100A、100B、100C去除。
参照图1A,包括如这里描述的模块化的、可互换的气体喷射器100A、100B、100C的沉积系统100可以用来将材料沉积在不同尺寸的工件基板36上,同时保持有效地使用前体气体。根据这些方法,第一气体喷射器100A可以被安装在沉积腔室12内,并且可以将第一工件基板36定位在沉积腔室12内的工件支撑结构34上。
可以使用第一气体喷射器100A在第一工件基板36上产生第一片大体层状的流动气体。如参照图6描述的,该第一片大体层状的流体气体可以具有横向于该第一片大体层状流动气体中的气体流动方向的第一最大宽度WA。在利用使用第一气体喷射器100A喷射在基板36上的前体气体将材料沉积在第一工件基板36上之后,可以将第一工件基板36从沉积腔室12移除。
第二气体喷射器100B可以安装在沉积腔室12内,并且第二工件基板36可以定位在沉积腔室12内的工件支撑结构34上。第二工件基板36可以具有比第一工件基板36小的直径。
可以使用第二气体喷射器100B在第二工件基板36上产生第二片大体层状的流动气体。如参照图9描述的,该第二片大体层状的流体气体可以具有横向于该第二片大体层状流动气体中的气体流动方向的第二最大宽度WB,并且该第二最大宽度WB可以小于第一最大宽度WA。在利用使用第二气体喷射器100B喷射在基板36上的前体气体将材料沉积在第二工件基板36上之后,可以将第二工件基板36从沉积腔室12移除。
第三气体喷射器100C可选地可以也与沉积系统10可互换地一起使用以按照类似方式将材料沉积在又一个更小的工件基板36上。
下面阐述本公开的附加的非限制性实施方式。
实施方式1:一种沉积系统,该沉积系统包括:沉积腔室;具有上支撑表面的基板支撑结构,该上支撑表面被构造成在所述沉积腔室内支撑基板;和至少两个气体喷射器,所述至少两个气体喷射器中的每个气体喷射器都被构造成可互换地安置在所述沉积腔室内的公共位置处,所述至少两个气体喷射器中的每个气体喷射器都被构造成在所述沉积系统的操作期间在所述基板支撑结构之上产生一片大体层状的流动气体,所述至少两个气体喷射器中的第一气体喷射器包括两个邻接的板,所述两个邻接的板限定位于其间的一个或多个气体流动通道,所述气体流动通道被定位并构造成在所述第一气体喷射器的出口处产生具有第一最大宽度的一片大体层状的流动气体,所述第一最大宽度横向于在平行于所述基板支撑结构的所述上支撑表面的气体流动平面中的气体流动方向;所述至少两个气体喷射器中的第二气体喷射器包括两个邻接的板,所述两个邻接的板限定位于其间的一个或多个气体流动通道,所述气体流动通道被定位并构造成在所述第二气体喷射器的出口处产生具有第二最大宽度的第二片大体层状的流动气体,所述第二最大宽度横向于所述气体流动平面中的所述气体流动方向,所述第二最大宽度小于所述所述第一最大宽度。
实施方式2:实施方式1的沉积系统,其中限定在所述第一气体喷射器的两个邻接的板之间的所述一个或多个气体流动通道具有跨越横向于所述气体流动平面中的气体流动方向的第一距离的出口,并且其中限定在所述第二气体喷射器的两个邻接的板之间的所述一个或多个气体流动通道具有跨越横向于所述气体流动平面中的气体流动方向的第二距离的出口,所述第二距离小于所述第一距离。
实施方式3:实施方式1或实施方式2的沉积系统,其中所述第一最大宽度和所述第二最大宽度之差为至少大约25毫米(25mm)。
实施方式4:实施方式3的沉积系统,其中所述第一最大宽度和所述第二最大宽度之差为至少大约75毫米(75mm)。
实施方式5:实施方式4的沉积系统,其中所述第一最大宽度和所述第二最大宽度之差为至少大约100毫米(100mm)。
实施方式6:实施方式1至5中的任一个实施方式的沉积系统,其中所述至少两个气体喷射器中的每个气体喷射器的两个邻接的板限定横向延伸的分布气体流动通道以及在所述分布气体流动通道和出口之间延伸的多个纵向延伸的气体流动通道。
实施方式7:实施方式6的沉积系统,其中所述第一气体喷射器的两个邻接的板限定了在所述分布气体流动通道和所述出口之间延伸的第一数量的纵向延伸的气体流动通道,其中所述第二气体喷射器的两个邻接的板限定了在所述分布气体流动通道和所述出口之间延伸的第二数量的纵向延伸的气体流动通道,并且其中所述第二数量小于所述第一数量。
实施方式8:实施方式6的沉积系统,其中所述第一气体喷射器的两个邻接的板限定了在所述分布气体流动通道和所述出口之间延伸的第一数量的相对较宽的纵向延伸的气体流动通道,其中所述第二气体喷射器的两个邻接的板限定了在所述分布气体流动通道和所述出口之间延伸的第二数量的相对较窄的纵向延伸的气体流动通道。
实施方式9:实施方式6至8中的任一个实施方式的沉积系统,其中所述第一和第二气体喷射器的纵向延伸的气体流动通道中的每个都具有相对狭窄的入口部分、相对宽阔的出口部分和扩散的中间部分。
实施方式10:实施方式1至9中的任一个实施方式的沉积系统,其中所述至少两个气体喷射器中的每个气体喷射器都包括第三板,该第三板与所述两个邻接的板联接,从而在所述两个邻接的板中的一个板与所述第三板之间限定了附加气体流动通道。
实施方式11:实施方式1至10中的任一个实施方式的沉积系统,其中所述至少两个气体喷射器中的每个气体喷射器的出口包括具有半径的半圆形表面。
实施方式12:实施方式1至11中的任一个实施方式的沉积系统,其中所述第一气体喷射器和所述第二气体喷射器中的每个都具有至少基本相同的外部尺寸。
实施方式13:一种形成沉积系统的方法,包括:提供沉积腔室;和在所述沉积腔室内提供基板支撑结构,该基板支撑结构具有被构造成支撑基板的上支撑表面,所述方法进一步包括:通过形成两个板并且将这两个板连接在一起从而在所述邻接的板之间限定一个或多个气体流动通道而形成第一气体喷射器,所述一个或多个气体流动通道被定位和构造成产生具有第一最大宽度的第一片大体层状的流动气体,所述第一最大宽度横向于在平行于所述基板支撑结构的所述上支撑表面的气体流动平面中的气体流动方向;通过形成两个板并且将这两个板连接在一起从而在所述邻接的板之间限定一个或多个气体流动通道而形成第二气体喷射器,所述一个或多个气体流动通道被定位和构造成产生具有第二最大宽度的第二片大体层状的流动气体,该第二最大宽度横向于在平行于所述基板支撑结构的所述上支撑表面的气体流动平面中的所述气体流动方向,所述第二最大宽度小于所述第一最大宽度;以及将所述第一气体喷射器和所述第二气体喷射器构造成能够在所述沉积腔室内的公共位置处互换地使用。
实施方式14:实施方式13的方法,进一步包括形成所述第一气体喷射器和所述第二气体喷射器使得所述第一最大宽度和所述第二最大宽度之差为至少大约25毫米(25mm)。
实施方式15:实施方式13或实施方式14的方法,进一步包括将所述第一气体喷射器和所述第二气体喷射器中的每个形成为包括横向延伸的分布气体流动通道以及在所述分布气体流动通道和出口之间延伸的多个纵向延伸的气体流动通道。
实施方式16:实施方式15的方法,进一步包括将所述第二气体喷射器形成为具有比所述第一气体喷射器少的纵向延伸的气体流动通道。
实施方式17:实施方式15或实施方式16的方法,进一步包括将所述第一气体喷射器和所述第二气体喷射器中的至少一个气体喷射器的纵向延伸的气体流动通道中的每个形成为具有相对狭窄的入口部分、相对宽阔的出口部分和扩散的中间部分。
实施方式18:实施方式13至17中的任一个实施方式的方法,进一步包括将所述第一气体喷射器和所述第二气体喷射器中的每个气体喷射器的出口形成为包括具有半径的半圆形表面。
实施方式19:实施方式13至18中的任一个实施方式的方法,进一步包括将所述第一气体喷射器和所述第二气体喷射器形成为具有至少基本相同的外部尺寸。
实施方式20:一种使用沉积系统的方法,该方法包括:将第一气体喷射器安装在沉积腔室内,该第一气体喷射器包括两个邻接的板,在所述两个邻接的板之间限定一个或多个气体流动通道;将第一基板定位在所述沉积腔室内;使用所述第一气体喷射器在所述第一基板之上产生第一片大体层状的流动气体,并且利用该第一片大体层状的流动气体将材料沉积在所述第一基板上,所述第一片大体层状的流动气体具有横向于该第一片大体层状的流动气体中的气体流动方向的第一最大宽度;在将材料沉积在所述第一基板上之后将所述第一基板从所述沉积腔室移除;将第二气体喷射器安装在所述沉积腔室内,该第二气体喷射器包括两个邻接的板,在所述两个邻接的板之间限定一个或多个气体流动通道;将第二基板定位在所述沉积腔室内,所述第二基板具有小于所述第一基板的直径的直径;并且使用所述第二气体喷射器在所述第二基板之上产生第二片大体层状的流动气体,并且利用该第二片大体层状的流动气体将材料沉积在所述第二基板上,所述第二片大体层状的流动气体具有横向于该第二片大体层状的流动气体中的气体流动方向的第二最大宽度,所述第二最大宽度小于所述第一最大宽度。
实施方式21:实施方式20的方法,其中第一片大体层状的流动气体的最大宽度在第一基板的最大直径的大约10%以内。
实施方式22:实施方式20或实施方式21的方法,其中第二片大体层状的流动气体的最大宽度在第二基板的最大直径的大约10%以内。
以上描述的本公开的示例实施方式不限制本发明的范围,因为这些实施方式仅仅是本发明的实施方式的示例,本发明由所附权利要求及其法律等同物来限定。任何等同的实施方式都在本发明的范围内。实际上,除了那些在这里示出和描述的实施方式之外,该公开的各种修改,诸如所描述的元件的有用的替换组合,对本领域技术人员来说都可以根据所述描述而变得明显。这种修改和实施方式也落入所附权利要求的范围内。

Claims (15)

1.一种沉积系统,该沉积系统包括:
沉积腔室;
具有上支撑表面的基板支撑结构,该上支撑表面被构造成在所述沉积腔室内支撑基板;和
至少两个气体喷射器,所述至少两个气体喷射器中的每个气体喷射器均被构造成能够互换地安置在所述沉积腔室内的公共位置处,所述至少两个气体喷射器中的每个气体喷射器均被构造成在所述沉积系统的操作期间在所述基板支撑结构之上产生一片大体层状的流动气体,所述至少两个气体喷射器中的第一气体喷射器包括两个邻接的板,这两个邻接的板限定了位于其间的一个或多个气体流动通道,所述气体流动通道被定位并构造成在所述第一气体喷射器的出口处产生具有第一最大宽度的一片大体层状的流动气体,所述第一最大宽度横向于在平行于所述基板支撑结构的所述上支撑表面的气体流动平面中的气体流动方向;所述至少两个气体喷射器中的第二气体喷射器包括两个邻接的板,这两个邻接的板限定了位于其间的一个或多个气体流动通道,所述气体流动通道被定位并构造成在所述第二气体喷射器的出口处产生具有第二最大宽度的第二片大体层状的流动气体,所述第二最大宽度横向于所述气体流动平面中的所述气体流动方向,所述第二最大宽度小于所述第一最大宽度。
2.根据权利要求1所述的沉积系统,其中,限定在所述第一气体喷射器的所述两个邻接的板之间的所述一个或多个气体流动通道具有跨越横向于所述气体流动平面中的所述气体流动方向的第一距离的出口,并且其中限定在所述第二气体喷射器的所述两个邻接的板之间的所述一个或多个气体流动通道具有跨越横向于所述气体流动平面中的所述气体流动方向的第二距离的出口,所述第二距离小于所述第一距离。
3.根据权利要求1所述的沉积系统,其中所述至少两个气体喷射器中的每个气体喷射器的所述两个邻接的板限定了横向延伸的分布气体流动通道以及在所述分布气体流动通道和出口之间延伸的多个纵向延伸的气体流动通道。
4.根据权利要求3所述的沉积系统,其中所述第一气体喷射器的所述两个邻接的板限定了在所述分布气体流动通道和所述出口之间延伸的第一数量的纵向延伸的气体流动通道,其中所述第二气体喷射器的所述两个邻接的板限定了在所述分布气体流动通道和所述出口之间延伸的第二数量的纵向延伸的气体流动通道,并且其中所述第二数量小于所述第一数量。
5.根据权利要求3所述的沉积系统,其中所述第一气体喷射器的所述两个邻接的板限定了在所述分布气体流动通道和所述出口之间延伸的第一数量的相对宽的纵向延伸的气体流动通道,其中所述第二气体喷射器的所述两个邻接的板限定了在所述分布气体流动通道和所述出口之间延伸的第二数量的相对窄的纵向延伸的气体流动通道。
6.根据权利要求3所述的沉积系统,其中所述第一气体喷射器和第二气体喷射器的纵向延伸的气体流动通道中的每个均具有相对狭窄的入口部分、相对宽阔的出口部分和扩散的中间部分。
7.根据权利要求1所述的沉积系统,其中所述至少两个气体喷射器中的每个气体喷射器都包括第三板,该第三板与所述两个邻接的板联接,从而在所述两个邻接的板中的一个板与所述第三板之间限定附加气体流动通道。
8.根据权利要求1所述的沉积系统,其中所述至少两个气体喷射器中的每个气体喷射器的出口包括具有半径的半圆形表面。
9.根据权利要求1所述的沉积系统,其中所述第一气体喷射器和所述第二气体喷射器中的每个均具有至少基本相同的外部尺寸。
10.一种形成沉积系统的方法,该方法包括:提供沉积腔室;以及在所述沉积腔室内提供基板支撑结构,该基板支撑结构具有被构造成支撑基板的上支撑表面,所述方法进一步包括:
通过形成两个板并且将这两个板连接在一起从而在邻接的所述板之间限定一个或多个气体流动通道而形成第一气体喷射器,所述一个或多个气体流动通道被定位和构造成产生具有第一最大宽度的第一片大体层状的流动气体,所述第一最大宽度横向于在平行于所述基板支撑结构的所述上支撑表面的气体流动平面中的气体流动方向;
通过形成两个板并且将这两个板连接在一起从而在邻接的所述板之间限定一个或多个气体流动通道而形成第二气体喷射器,所述一个或多个气体流动通道被定位和构造成产生具有第二最大宽度的第二片大体层状的流动气体,该第二最大宽度横向于在平行于所述基板支撑结构的所述上支撑表面的气体流动平面中的所述气体流动方向,所述第二最大宽度小于所述第一最大宽度;以及
将所述第一气体喷射器和所述第二气体喷射器构造成能够在所述沉积腔室内的公共位置处互换地使用。
11.根据权利要求10所述的方法,该方法进一步包括将所述第一气体喷射器和所述第二气体喷射器中的每个形成为包括横向延伸的分布气体流动通道以及在所述分布气体流动通道和出口之间延伸的多个纵向延伸的气体流动通道。
12.根据权利要求11所述的方法,该方法进一步包括将所述第二气体喷射器形成为具有比所述第一气体喷射器少的纵向延伸的气体流动通道。
13.根据权利要求11所述的方法,该方法进一步包括将所述第一气体喷射器和所述第二气体喷射器中的至少一个气体喷射器的纵向延伸的气体流动通道中的每个形成为具有相对狭窄的入口部分、相对宽阔的出口部分和扩散的中间部分。
14.根据权利要求10所述的方法,该方法进一步包括将所述第一气体喷射器和所述第二气体喷射器中的每个气体喷射器的出口形成为包括具有半径的半圆形表面。
15.根据权利要求10所述的方法,进一步包括将所述第一气体喷射器和所述第二气体喷射器形成为具有至少基本相同的外部尺寸。
CN201380061334.3A 2012-11-27 2013-11-20 具有可互换气体喷射器的沉积系统和相关的方法 Expired - Fee Related CN104822866B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261730393P 2012-11-27 2012-11-27
US61/730,393 2012-11-27
PCT/IB2013/002604 WO2014083400A1 (en) 2012-11-27 2013-11-20 Deposition systems having interchangeable gas injectors and related methods

Publications (2)

Publication Number Publication Date
CN104822866A CN104822866A (zh) 2015-08-05
CN104822866B true CN104822866B (zh) 2017-09-01

Family

ID=49989856

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380061334.3A Expired - Fee Related CN104822866B (zh) 2012-11-27 2013-11-20 具有可互换气体喷射器的沉积系统和相关的方法

Country Status (3)

Country Link
US (1) US20150292088A1 (zh)
CN (1) CN104822866B (zh)
WO (1) WO2014083400A1 (zh)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10760161B2 (en) * 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR101715192B1 (ko) * 2015-10-27 2017-03-23 주식회사 유진테크 기판처리장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
USD793352S1 (en) * 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7165529B2 (ja) * 2018-07-27 2022-11-04 大陽日酸株式会社 フランジ締結構造及びこれを用いた気相成長装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN214848503U (zh) 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
DE102021115349A1 (de) * 2020-07-14 2022-01-20 Infineon Technologies Ag Substrat-prozesskammer und prozessgasströmungsablenker zur verwendung in der prozesskammer
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1501435A (zh) * 2002-11-15 2004-06-02 ���ǵ�����ʽ���� 用于半导体处理系统的注气装置
GB2426252A (en) * 2005-05-17 2006-11-22 Oxford Instr Plasma Technology Atomic layer deposition apparatus
WO2008064077A2 (en) * 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies Methods for high volume manufacture of group iii-v semiconductor materials
CN101322226A (zh) * 2006-03-31 2008-12-10 东京毅力科创株式会社 基板处理装置以及处理气体喷出机构
CN201313934Y (zh) * 2008-09-10 2009-09-23 李刚 一种用于化学气相淀积的气体导入装置
CN102034666A (zh) * 2009-10-01 2011-04-27 显示器生产服务株式会社 等离子反应内室的侧部气体喷射器

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2745316B2 (ja) * 1988-06-22 1998-04-28 アドバンスド セミコンダクター マテリアルス アメリカ、インコーポレイテッド 化学蒸着反応器用ガス注入装置
TW429271B (en) * 1997-10-10 2001-04-11 Applied Materials Inc Introducing process fluid over rotating substrates
US6179913B1 (en) 1999-04-16 2001-01-30 Cbl Technologies, Inc. Compound gas injection system and methods
US20040016401A1 (en) * 2002-07-26 2004-01-29 Metal Oxide Technologies, Inc. Method and apparatus for forming superconductor material on a tape substrate
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
WO2010101715A1 (en) 2009-03-03 2010-09-10 S.O.I.Tec Silicon On Insulator Technologies Gas injectors for cvd systems with the same
US8197597B2 (en) 2006-11-22 2012-06-12 Soitec Gallium trichloride injection scheme
KR101354140B1 (ko) 2008-02-27 2014-01-22 소이텍 Cvd 반응기 내에서 가스 전구체들의 열화
US8512472B2 (en) * 2008-11-13 2013-08-20 Applied Materials, Inc. Method and apparatus to enhance process gas temperature in a CVD reactor
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
KR101884003B1 (ko) * 2011-03-22 2018-07-31 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 증착 챔버를 위한 라이너 조립체

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1501435A (zh) * 2002-11-15 2004-06-02 ���ǵ�����ʽ���� 用于半导体处理系统的注气装置
GB2426252A (en) * 2005-05-17 2006-11-22 Oxford Instr Plasma Technology Atomic layer deposition apparatus
CN101322226A (zh) * 2006-03-31 2008-12-10 东京毅力科创株式会社 基板处理装置以及处理气体喷出机构
WO2008064077A2 (en) * 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies Methods for high volume manufacture of group iii-v semiconductor materials
CN201313934Y (zh) * 2008-09-10 2009-09-23 李刚 一种用于化学气相淀积的气体导入装置
CN102034666A (zh) * 2009-10-01 2011-04-27 显示器生产服务株式会社 等离子反应内室的侧部气体喷射器

Also Published As

Publication number Publication date
CN104822866A (zh) 2015-08-05
WO2014083400A1 (en) 2014-06-05
US20150292088A1 (en) 2015-10-15

Similar Documents

Publication Publication Date Title
CN104822866B (zh) 具有可互换气体喷射器的沉积系统和相关的方法
US8679956B2 (en) Multiple precursor showerhead with by-pass ports
TWI542725B (zh) 誘導處理室清潔氣體之紊流的方法及設備
US9449859B2 (en) Multi-gas centrally cooled showerhead design
TWI537416B (zh) A CVD reactor with a strip inlet region and a method of depositing a layer on the substrate in such a CVD reactor
KR101180214B1 (ko) 전구체 공급원을 구비한 샤우어헤드
US20050245093A1 (en) Atomic layer deposition methods and atomic layer deposition tools
US20140127404A1 (en) Apparatus For Spatial Atomic Layer Deposition With Recirculation And Methods Of Use
US8491720B2 (en) HVPE precursor source hardware
CN102754190A (zh) Cvd腔室的流体控制特征结构
CN102576667A (zh) 中空阴极喷头
TW200924854A (en) Multi-gas spiral channel showerhead
WO2011159690A2 (en) Multiple precursor showerhead with by-pass ports
US20130047918A1 (en) Deposition systems including a precursor gas furnace within a reaction chamber, and related methods
US6299692B1 (en) Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
US20080276860A1 (en) Cross flow apparatus and method for hydride vapor phase deposition
US20160145767A1 (en) Deposition systems having access gates at desirable locations, and related methods
CN103320770A (zh) 气体喷淋头以及气相沉积反应腔
TWM464459U (zh) 金屬有機化學氣相沉積反應器的氣體分佈裝置及反應器
JP5478723B2 (ja) 成膜装置
TWI586830B (zh) 在所需位置具有進出閘門之沈積系統及相關製作方法
TWI494461B (zh) 包括在反應室內之前驅氣體爐的沉積系統及相關方法
JP2528165B2 (ja) 半導体製造装置

Legal Events

Date Code Title Description
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170901

Termination date: 20191120

CF01 Termination of patent right due to non-payment of annual fee