TW200834688A - Prevention of film deposition on PECVD process chamber wall - Google Patents

Prevention of film deposition on PECVD process chamber wall Download PDF

Info

Publication number
TW200834688A
TW200834688A TW96148312A TW96148312A TW200834688A TW 200834688 A TW200834688 A TW 200834688A TW 96148312 A TW96148312 A TW 96148312A TW 96148312 A TW96148312 A TW 96148312A TW 200834688 A TW200834688 A TW 200834688A
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
support
processing chamber
wide
Prior art date
Application number
TW96148312A
Other languages
Chinese (zh)
Other versions
TWI455192B (en
Inventor
Beom-Soo Park
Robin L Tiner
Soo-Young Choi
John M White
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200834688A publication Critical patent/TW200834688A/en
Application granted granted Critical
Publication of TWI455192B publication Critical patent/TWI455192B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Abstract

A method and apparatus for processing a substrate are provided. The chamber body comprises a chamber bottom and a sidewall having a slit valve. A substrate support comprising a support body is disposed in the chamber body. A first end of at least one wide RF ground strap is coupled with the support body and a second end of at least one RF ground strap is coupled with the chamber bottom. At least one extension bar is positioned along a peripheral edge of the support body. The method comprises providing a processing chamber having a slit valve and a substrate support, providing RF power to a distribution plate disposed over the substrate support, flowing gas through the distribution plate, plasma processing a substrate disposed on the substrate support, and reducing the generation of plasma adjacent to the slit valve.

Description

200834688 九、發明說明: 【發明所屬之技術領域】 本發明之實施例大致係關於電漿處理一 設備,更明確地說,係關於具有寬的 RF strap)與/或基材延伸桿之電漿處理室與其應 【先前技術】 PECVD通常係用來在基材或半導體晶 膜。通常藉由將一或多種前驅物氣體導入 PECVD。一般係透過位於腔室頂部附近的分 鋁所製成)引導前驅物氣體。在真空室中形¥ 氣體與電漿進行反應以在位於基材支撐件J: 上沉積一材料薄層。反應期間所產生之沉箱 積於狹缝閥(slit valve)通道與真空室的腔室 流與電漿密度的不一致使得腔室壁與狹缝N 膜係相對多孔的。此多孔性膜累積在腔室屬 腔室中的污染物來源,其會在延長之沉積ϋ 剝落與微粒。 因此,需要一種改良之電漿處理室與其 【發明内容】 本發明之實施例大致係關於電漿處理-設備,更明確地說,係關於具有寬的RF接 延伸桿之電漿處理室與其應用方法。 基材之方法與 接地片(ground 用方法。 圓上沉積一薄 真空室而完成 配板(通常係由 乞電聚。前驅物 -之基材的表面 t副產物通常沉 :壁上。由於氣 丨通道上之沉積 $上並可能變成 ί期中產生成片 應用方法。 -基材之方法與 地片與/或基材 5 200834688 本發明之實施例大致提供包含一腔室主體的基材 至。該腔室主體包括一腔室底部以及具有狹缝闊之側 包括一支撐主體的基材支撐件係配置於腔室主體中。 一寬的RF接地片之第一端係耦接於支撐主體而至少_ 接地片之第二端係耦接於腔室底部。至少一延伸桿係 支撐主體之周邊而配置。 另一實施例中提出包含一腔室主體的基材處理室 腔室主體包括一腔室底部以及側壁。提出配置於腔室 中且包括一支撐主體的基材支撐件。至少一寬的RF 片之第一端係輕接於支撐主體而至少一 RF接地片之 端係耦接於腔室底部。 又另一實施例中提出包含一具有側壁之腔室主體 材處理至。基材支撐件係位於腔室主體中。至少一延 係^著支撐主體之周邊而配置。一實施例中,側壁具 缝閥且該至少一延伸桿係鄰近該側壁而配置。 又另一實施例中提出處理一基材之方法。該方法 提供具有狹縫閥與基材支撐件之處理室。提供RF功 置於基材支撐件上方之分配板。流動氣體經過該分配 電漿處理置於基材支撐件上之基材。冑少鄰近狹缝閥 電裝生成。 【實施方式】 本發明大致係關於電漿處理基材之方法與設備, 確地說’係關於具有寬的RF接地片與/或基材延伸桿 漿處理室與其應用方法。 處理 壁〇 至少 -RF 沿著 。該 主體 接地 第二 的基 伸桿 有狹 包括 率給 板。 處之 更明 之電 200834688 此處所用之詞彙「基材」通常係指任何基材或形成於 基材上之材料表面,可於其上執行膜層處理。200834688 IX. INSTRUCTIONS OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION Embodiments of the present invention generally relate to a plasma processing apparatus, and more particularly to a plasma having a wide RF strap and/or a substrate extension rod Processing chambers should be [Prior Art] PECVD is typically used in substrates or semiconductor crystal films. Typically, one or more precursor gases are introduced into the PECVD. The precursor gas is typically directed through sub-aluminum located near the top of the chamber. The gas is reacted with the plasma in a vacuum chamber to deposit a thin layer of material on the substrate support J:. The cavitation produced during the reaction is inconsistent with the chamber flow of the slit valve and the vacuum chamber and the plasma density makes the chamber wall and the slit N membrane system relatively porous. This porous membrane accumulates a source of contaminants in the chamber chamber which will flaking and particulate during prolonged deposition. Accordingly, there is a need for an improved plasma processing chamber and its contents. SUMMARY OF THE INVENTION Embodiments of the present invention generally relate to plasma processing equipment, and more particularly to plasma processing chambers having wide RF extension rods and their applications. method. Substrate method and grounding strip (ground method. A thin vacuum chamber is deposited on the circle to complete the matching plate (usually due to electrocoagulation. The surface of the substrate of the precursor - t by-product usually sinks: on the wall. The deposition on the germanium channel may become a method of application in a sheet. - Substrate method and ground sheet and/or substrate 5 200834688 Embodiments of the present invention generally provide a substrate comprising a chamber body to. The chamber body includes a chamber bottom and the substrate support having a slit body including a support body is disposed in the chamber body. The first end of the wide RF grounding strip is coupled to the support body. At least the second end of the grounding strip is coupled to the bottom of the chamber. At least one of the extending rods is disposed to support the periphery of the body. In another embodiment, the substrate processing chamber chamber body including a chamber body includes a cavity. a bottom portion and a side wall. A substrate support member disposed in the chamber and including a support body is provided. The first end of the at least one wide RF sheet is lightly connected to the support body and the end of the at least one RF ground plate is coupled to The bottom of the chamber. In another embodiment, a chamber body having a sidewall is provided. The substrate support is located in the chamber body. At least one extension is disposed around the periphery of the support body. In one embodiment, the sidewall has a seam The valve and the at least one extension rod are disposed adjacent to the side wall. In yet another embodiment, a method of treating a substrate is provided. The method provides a processing chamber having a slit valve and a substrate support. A distribution plate above the material support member. The flowing gas passes through the distribution plasma to treat the substrate placed on the substrate support member. The invention is based on the plasma processing. The method and equipment of the material, it is true that the system has a wide RF grounding lug and/or substrate extending rod processing chamber and its application method. The processing niche is at least - RF along. The main grounding of the main grounding rod has The narrower inclusion rate is given to the board. The more obvious power 200834688 The term "substrate" as used herein generally refers to any substrate or surface of a material formed on a substrate on which a film treatment can be performed.

本發明通常係用於長方形基材。其他適當之基材可為 圓形,例如晶圓。本發明可用於任何基材尺寸。然而,本 發明提供特定優勢於尺寸15K (約15,600 cm2)、25K (約 2 7,75 0 cm2)與以上,較佳為40K (約4 1,1 40 cm2)與以上(例 如,50K、5 5K與60K),而這係因為較大的基座需要增強 的接地性。 液晶顯示器或平板顯示器通常係用於主動矩陣顯示 器,諸如電腦與電視螢幕。一般而言,平板顯示器包括具 有一層液晶材質夾於其中的兩板件。至少一板件包括配置 於其上之至少一傳導膜(耦接至電源)。由電源供應給傳導 膜的功率可改變液晶材料之方向而產生圖案化之顯示。 ' 為了製造這些顯示器,諸如玻璃或聚合物工件之基材 通常受到許多連續處理以在基材上產生元件、導體與絕緣 體。各個這些處理通常執行於適以執行一或更多處理步驟 的處理室中。為了有效地完成處理步驟的整個序列,通常 有許多處理室耦接至中央傳送室,該中央傳送室内藏一自 動控制裝置以促進處理室之間的基材傳送。具有此配置的 處理平台通常稱為叢集工具(cluster tool),其之實例為可 自 AKT America,Inc.(Santa Clara,California)取得之 AKT 電漿辅助式化學氣相沉積(PECVD)處理平台家族。 7 200834688 雖然在大面積基材處理系統中示範性地描述、顯示與 實施本發明,但本發明可用於其他電漿處理系統,包括那 些來自其他製造商之系統,其中預期可確保一或更多接地 路徑保持功能在促進系統中可接受之處理的程度。可實施 本發明的其他示範性處理系統包括 CENTURA ULTIMA HDP-CVD™ 系統、PRODUCER APF PECVD™ 系統、 Τ ΜThe invention is generally applied to rectangular substrates. Other suitable substrates may be circular, such as wafers. The invention can be used on any substrate size. However, the present invention provides particular advantages in size 15K (about 15,600 cm2), 25K (about 27,75 0 cm2) and above, preferably 40K (about 41,1 40 cm2) and above (for example, 50K, 5) 5K and 60K), because this requires a greater grounding for the larger base. Liquid crystal displays or flat panel displays are commonly used in active matrix displays such as computers and television screens. In general, a flat panel display includes two panels having a layer of liquid crystal material sandwiched therein. At least one of the plates includes at least one conductive film (coupled to a power source) disposed thereon. The power supplied to the conductive film by the power source can change the direction of the liquid crystal material to produce a patterned display. To make these displays, substrates such as glass or polymer workpieces are often subjected to a number of continuous processes to create components, conductors and insulators on the substrate. Each of these processes is typically performed in a processing chamber suitable for performing one or more processing steps. In order to efficiently complete the entire sequence of processing steps, a plurality of processing chambers are typically coupled to the central transfer chamber, which houses an automated control device to facilitate substrate transfer between the processing chambers. A processing platform having this configuration is commonly referred to as a cluster tool, an example of which is an AKT plasma-assisted chemical vapor deposition (PECVD) processing platform family available from AKT America, Inc. (Santa Clara, California). . 7 200834688 While the invention has been exemplarily described, shown and embodied in a large area substrate processing system, the invention is applicable to other plasma processing systems, including those from other manufacturers, where one or more are expected to be ensured. The ground path retention function is to the extent that it facilitates acceptable processing in the system. Other exemplary processing systems in which the present invention may be implemented include the CENTURA ULTIMA HDP-CVDTM system, the PRODUCER APF PECVDTM system, Τ Μ

PRODUCER BLACK DIAMOND 系統、PRODUCER BLOKPRODUCER BLACK DIAMOND system, PRODUCER BLOK

Τ Μ T MΤ Μ T M

PECVD 系統、PRODUCER DARC PECVD 系統、PECVD system, PRODUCER DARC PECVD system,

Τ Μ Τ M PRODUCER HARP 系統、PRODUCER PECVD 系統、Τ Μ Τ M PRODUCER HARP system, PRODUCER PECVD system,

Τ M PRODUCER STRESS NITRIDE PECVD 系統以及 Τ Μ PRODUCER TEOS FSG PECVD 系統,所有上述均可自 Applied Materials,Inc.(Santa Clara,CA)取得。 電漿輔助式化學氣相沉積(PECVD)技術通常可藉由對 鄰近基材表面之反應區應用電場而促進反應氣體的激發與 /或解離,而緊接基材表面上方產生反應物種之電漿。電漿 中物種之活性可減少進行化學反應所需之能量,實際上可 降低上述PECVD處理所需之溫度。 第1A圖係系統1 00之側視圖,該系統適合化學氣相 沉積(CVD)或電漿辅助式化學氣相沉積(PECVD)處理以用 於在大面積之玻璃、聚合物或其他適當基材上製造平板顯 示器的電路。系統1 〇〇適以在大面積基材上形成結構與元 件好用於製造液晶顯示器(LCD’s)、平板顯示器、有機發光 二極體(0LED’s)或用於太陽能電池陣列的光電電池 8 200834688 (photovoltaic cell)。該結構可能為複數個反向通 堆疊(底部閑極)薄膜電晶體,其可能包括複數個 與遮罩步驟。其他結構可包括p-n接面以形成用 池的二極體。 系統100適以在大面積基材上沉積許多材料 不限於)介電材料(例如,Si02、sioxNy、上述之 上述之組合物)、半導體材料(例如,Si與其之摻 障材料(例如,siNX、sioxNy或上述之衍生物)。4 在大面積基材上所形成或沉積之介電材料與半導 特定實例包括磊晶矽、多晶矽、非晶石夕、微晶 (silicon germanium)、鍺、二氧化矽、氮氧化石夕、 上述之摻雜物(例如’ B、P或As)、上述之衍生物 組合物。系統1 0 0亦適以接收清潔氣體(諸如,氬 乱或上述之組合物的氣體)或载氣(例如,A r、Η 2、 上述之衍生物或上述之組合物)。利用系統1 〇 〇在 材上沉積矽薄膜的一實例可藉由在氫氣載氣中利 為前驅物氣體而加以完成。 利用系統1 00在大面積基材上沉積薄膜之不 方法的實例可見於2005年11月17日申請之美國 號 1 1/021,416、公開號 U.S. 2005-0255257 名稱; Of Controlling The Film Properties Of PECVD-Thin Films”與2005年7月1曰申請之美國專 11/173,210、公開號 U.S· 2006-0228496 名稱, 道蝕刻逆 連續沉積 於光電電 ,包括(但 衍生物或 雜物)、阻 糸統1 〇 〇 體材料的 矽、矽鍺 氮化發、 或上述之 、氫、氮、 、He、 大面積基 用矽烷作 同設備與 專利申請 I “Method Deposited 利申請號 % uPlasma 200834688Τ M PRODUCER STRESS NITRIDE PECVD system and Τ Μ PRODUCER TEOS FSG PECVD system, all of which are available from Applied Materials, Inc. (Santa Clara, CA). Plasma-assisted chemical vapor deposition (PECVD) techniques generally promote the excitation and/or dissociation of reactive gases by applying an electric field to the reaction zone adjacent the surface of the substrate, while generating a plasma of the reactive species immediately above the surface of the substrate. . The activity of the species in the plasma reduces the amount of energy required to carry out the chemical reaction and actually reduces the temperature required for the above PECVD process. Figure 1A is a side view of System 100, which is suitable for chemical vapor deposition (CVD) or plasma assisted chemical vapor deposition (PECVD) processing for use in large areas of glass, polymers or other suitable substrates. The circuit on which the flat panel display is made. System 1 is suitable for forming structures and components on large-area substrates for the manufacture of liquid crystal displays (LCD's), flat panel displays, organic light-emitting diodes (OLEDs) or photovoltaic cells for solar cell arrays. 200834688 (photovoltaic Cell). The structure may be a plurality of reverse pass stack (bottom idle) thin film transistors, which may include a plurality of masking steps. Other structures may include a p-n junction to form a diode for the cell. System 100 is adapted to deposit a plurality of materials on a large area substrate, not limited to dielectric materials (eg, SiO 2 , siox Ny, combinations of the foregoing, and semiconductor materials) (eg, Si and its doped materials (eg, siNX, SioxNy or the above derivatives). 4 Specific examples of dielectric materials and semiconductors formed or deposited on large-area substrates include epitaxial germanium, polycrystalline germanium, amorphous germanium, silicon germanium, germanium, and germanium. Cerium oxide, nitrogen oxynitride, dopants of the above (e.g., 'B, P or As), derivatives compositions described above. System 1000 is also adapted to receive a cleaning gas (such as argon or a combination thereof) Gas) or carrier gas (for example, A r, Η 2, the above derivatives or combinations thereof). An example of depositing a ruthenium film on a material using System 1 can be benefited from hydrogen carrier gas. The precursor gas is completed. An example of a method for depositing a thin film on a large-area substrate using the system 100 can be found in the US No. 1 1/021,416 filed on Nov. 17, 2005, the name of the publication US 2005-0255257; Controlling The Film Properties Of PECVD-Thin Films" and the US Patent No. 11/173,210, published on July 1, 2005, the name of the USS 2006-0228496, the channel etching is continuously deposited on photovoltaic power, including (but derivatives or sundries),糸, 矽锗 矽锗, or the above, hydrogen, nitrogen, He, large area base with decane as the same equipment and patent application I "Method Deposited application number % uPlasma 200834688

Uniformity Control By Gas Diffuser Curvature”,將兩篇申 請書與本說明書不一致的範圍以參考資料方式併入本文 中。可利用系統 1 00形成之不同元件的其他實例可見於 20 04年7月12日申請之美國專利申請號10/8 8 9,683、公 開號 U.S. 2005-0251990 名稱為 “Plasma Uniformity Control by Gas Diffuser Hole Design”,以及 2006 年 10 月 24曰申請之美國專利號7,125,758名稱為“Controlling the Properties and Uniformity of a Silicon Nitride Film by Controlling the Film Forming Precursors”,將兩篇申請書 與本說明書不一致的範圍以參考資料方式併入本文中。 第1A圖描述電漿辅助式化學氣相沉積系統10〇之一 實施例,其具有本發明之延伸桿1 7〇與寬的RF接地片1 84 之一實施例。延伸桿1 70與寬的RF接地片1 84兩者可促 進在腔室主體102上沉積密集膜。寬的rf接地片184亦 促成輕接基材支撐組件1 3 8與腔室主體1 〇2間之接地路徑 的效力。可以理解延伸桿17〇與寬的RF接地片184之實 施例可單獨應用(如第1 B圖與第1 C圖中所示)或聯合應用 (如第1 A圖中所示)。更可理解延伸桿ι7〇之實施例、寬的 RF接地片1 84之實施例與此處描述之其應用方法以及上 述之衍生物可用於其他處理系統,包括那些來自其他製造 商之系統。 第1A圖描述之實施例中,接地之腔室主體1〇2具有 氣體源104、電源122與控制器(未顯示)與其耦接。控制 10 200834688 器係用來控制系統1〇〇中執行之處理。一實施例中,控制 器包括中央處理器(CPU)(未顯示)、支援電路(未顯示)與記 憶體(未顯示)。CPU為可用於工業設定(控制不同腔室與子 處理器)之任何形式的電腦處理器之一者。記憶體耦接至 CPU。記憶體或電腦可讀式媒介可為一或多種可輕易取得 的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體 (ROM)、軟牒、硬碟或任何其他形式的數位儲存器(本地或 遠端)。支援電路耦接至CPU好以傳統方式支援處理器。 這些電路包括快取(cache)、電源、時脈電路(ci〇ck circuit)、輸入/輸出電路、子系統等等。 腔室主體102具有界定處理容積〗12之側壁1〇6、底 部108與蓋組件U0。一般可通過側壁1〇6中之狹缝閥16〇 進出處理容積112,該狹缝閥16〇可促進大面積基材14〇 (之後稱為「基材140」)進入與離開腔室主體1〇2。大面積 基材140可為玻璃或聚合物工件,而在一實施例中,其具 有大於約2,500 cm2的平坦表面積。雖然本發明可用於任 何基材尺寸,但是本發明之寬的RF接地片184特別有利 於尺寸15,〇〇〇 cm2與之上,較佳為4〇 〇〇〇㈣2與之上,這 係因為較大的基座需要增強的接地性。腔室主體1〇2之= 壁與底部108通常係·由單一鋁塊或其他與處理化學作 用相各之材料所構成。腔室主體102之底部108具有穿越 其而形成之泵送口 114,其耦接處理容積112至泵浦系統 (未顯示)以促進調控處理容積112中之壓力並在處理過程 中排出氣體與副產物。 王 11 200834688 蓋組件1 1 0係由側壁1 06所支撐且可移除以檢測腔室 主體1 02内部。蓋組件1 1 0通常係由鋁所構成。分配板1 1 8 係耦接至蓋組件1 1 0之内側1 20。分配板1 1 8通常係由鋁 所構成。分配板1 1 8的中心部份包括穿孔區域,氣體源1 04 供應之處理與其他氣體可穿過此處傳送至處理容積 112。 分配板1 1 8的穿孔區域適以提供一致的氣體分配穿越分配 板1 1 8進入腔室主體1 02。電源1 22係耦接至分配板1 1 8Uniformity Control By Gas Diffuser Curvature", the scope of the inconsistency between the two applications and this specification is incorporated herein by reference. Other examples of different components that can be formed using System 100 can be found on July 12, 2004. U.S. Patent Application Serial No. 10/8,8,8,683, issued to US-A-2005-0251990, entitled "Plasma Uniformity Control by Gas Diffuser Hole Design", and U.S. Patent No. 7,125,758, filed on Oct. 24, 2006, entitled "Controlling the Properties and Uniformity of a Silicon Nitride Film by Controlling the Film Forming Precursors, the scope of the inconsistency between the two applications and the present specification is incorporated herein by reference. FIG. 1A depicts a plasma-assisted chemical vapor deposition system. An embodiment having one of the extension rods 1 7〇 and the wide RF ground lug 1 84 of the present invention. Both the extension rods 1 70 and the wide RF ground lug 1 84 can facilitate deposition on the chamber body 102. Dense film. The wide rf ground plate 184 also facilitates the grounding path between the substrate support assembly 138 and the chamber body 1 〇2. Efficacy. It will be appreciated that the embodiment of the extension rod 17〇 and the wide RF ground lug 184 can be applied separately (as shown in Figures 1 B and 1 C) or in combination (as shown in Figure 1A). It will be further appreciated that the embodiment of the extension rod ι7 、, the embodiment of the wide RF ground lug 180 and the methods of application described herein, as well as the derivatives described above, can be used in other processing systems, including those from other manufacturers. In the embodiment depicted in Figure 1A, the grounded chamber body 1 2 has a gas source 104, a power source 122 coupled to a controller (not shown), and a control 10 200834688 for controlling the processing performed in the system 1〇〇. In one embodiment, the controller includes a central processing unit (CPU) (not shown), support circuitry (not shown), and memory (not shown). The CPU is industrially programmable (controls different chambers and sub-processors) One of any form of computer processor. The memory is coupled to the CPU. The memory or computer readable medium can be one or more easily accessible memories, such as random access memory (RAM), read only Memory (ROM), soft , hard disk or any other form of digital storage (local or remote). The support circuit is coupled to the CPU to support the processor in the traditional way. These circuits include cache, power supply, clock circuit (ci〇ck Circuit), input/output circuits, subsystems, etc. The chamber body 102 has side walls 1-6 defining a treatment volume 12, a bottom portion 108 and a lid assembly U0. Generally, the processing volume 112 can be accessed through the slit valve 16 in the side wall 1〇6, and the slit valve 16〇 can promote the large-area substrate 14〇 (hereinafter referred to as “substrate 140”) to enter and exit the chamber body 1 〇 2. The large area substrate 140 can be a glass or polymer workpiece, and in one embodiment it has a flat surface area greater than about 2,500 cm2. While the present invention can be used with any substrate size, the wide RF ground lug 184 of the present invention is particularly advantageous for size 15, 〇〇〇cm2 and above, preferably 4 〇〇〇〇 (4) 2 and above, because Larger pedestals require enhanced grounding. The chamber body 1〇2 = wall and bottom portion 108 are typically constructed of a single aluminum block or other material that is compatible with the processing chemistry. The bottom portion 108 of the chamber body 102 has a pumping port 114 formed therethrough that couples the processing volume 112 to a pumping system (not shown) to facilitate regulating the pressure in the process volume 112 and to vent gases and pairs during processing product. King 11 200834688 The cover assembly 110 is supported by the side wall 106 and is removable to detect the interior of the chamber body 102. The lid assembly 110 is typically constructed of aluminum. The distribution plate 1 18 is coupled to the inner side 1 20 of the cover assembly 1 1 0. The distribution plate 1 18 is usually made of aluminum. The central portion of the distribution plate 1 18 includes a perforated area through which the supply of gas source 104 and other gases can pass to the process volume 112. The perforated area of the distribution plate 1 18 is adapted to provide a consistent gas distribution across the distribution plate 1 1 8 into the chamber body 102. The power source 1 22 is coupled to the distribution board 1 1 8

持形 維所 中體 程氣 過理 理處 處之 在中 並12 體 1 氣積 理容 處理 發處 激部 可内 其之 , 下 壓 8 1 偏 1 性板。 電配衆 供分電 提.體的 以氣成 將加熱之基材支撐組件1 3 8置於腔室主體1 02中心並 在處理過程中支撐基材140。基材支撐組件138通常包括 由軸142所支撐的導電支撐主體124,該軸142延伸穿過 腔室底部108。支撐主體124之外形通常為多邊形並至少 在主體124支撐基材140之部分上塗有電性絕緣塗層(未顯 示)。該塗層亦可覆蓋主體1 24的其他部分。基材支撐組件 138通常至少在處理過程中耦接至地面。 支撐主體124可由金屬或其他同等導電材質(例如,鋁: 所構成。絕緣塗層可為一介電材質,諸如氧化物、氮化矽、 二氧化矽、二氧化鋁、五氧化钽、碳化矽或聚醯亞胺等等, 而可藉由不同沉積或塗覆處理加以應用,包括(但不限於) 火焰喷塗、電漿喷塗、高能塗覆(high energy coating)、化 12 200834688 學氣相沉積、喷塗、黏著膜(adhesive film)、濺鍍與封裝 (encapsulating) 〇 一實施例中,支撐主體124封裝至少一嵌入式加熱元 件132與熱電偶(未顯示)。主體124可包括一或更多由金 屬、陶瓷或其他硬化材質所構成之硬化構件(未顯示)嵌入 其中。In the shape-bearing dimension, the gas in the body is in the middle of the body and the 12-body 1 gas reservoir is used to handle the hair. The excitable part can be inside, and the lower pressure is 8 1 partial plate. The electrical component is supplied to the body. The heated substrate support assembly 138 is placed in the center of the chamber body 102 and supports the substrate 140 during processing. The substrate support assembly 138 generally includes a conductive support body 124 supported by a shaft 142 that extends through the chamber bottom portion 108. The support body 124 is generally polygonal in shape and is coated with an electrically insulating coating (not shown) on at least a portion of the body 124 that supports the substrate 140. The coating may also cover other portions of the body 1 24. The substrate support assembly 138 is typically coupled to the ground at least during processing. The support body 124 may be made of metal or other equivalent conductive material (for example, aluminum: the insulating coating may be a dielectric material such as oxide, tantalum nitride, hafnium oxide, aluminum oxide, tantalum pentoxide, tantalum carbide). Or polyimine, etc., which can be applied by different deposition or coating treatments, including but not limited to flame spraying, plasma spraying, high energy coating, and chemistry 12 200834688 Phase deposition, spray coating, adhesive film, sputtering, and encapsulating. In one embodiment, the support body 124 encloses at least one embedded heating element 132 and a thermocouple (not shown). The body 124 can include a Or more hardened members (not shown) made of metal, ceramic or other hardened material are embedded therein.

加熱元件132(諸如,電極或電阻式元件)耦接至電源 (未顯示)且可控制地加熱支撐組件1 3 8以及位於其上之基 材140到預定之溫度。一般而言,加熱元件132在處理過 程中將基材140維持在約150°C到至少約460°C之間的一致 溫度。相對於主體1 24來說,加熱元件1 3 2為電性流動的 (electrically floating)。 一般而言,支撐組件1 3 8具有一下側1 2 6與支撐基材 140於其上的上側134。下側126具有一桿蓋144與其耦 接。桿蓋1 4 4通常係一耦接至支撐組件丨3 8之鋁環,其可 提供安裝表面以讓軸1 4 2連結至此。 一般而言,軸142自桿蓋144延伸穿過腔室底部1〇8 並將支撐組件138耦接至舉升系統136,其可在升高之處 理位置(如圖所示)與降低位置之間移動支撐組件 1 δ以促 進基材傳送。風箱146提供處理容積112與腔室主體1〇2 外空氣之間的真空密封同時促進支撐組件138的垂直移 13 200834688 動。軸142額外地提供導管給支撐組件138與系統ι〇〇其 他部件之間的電性與熱電偶導線。 軸142可與腔室主體1〇2電性隔離。第1A圖所示之 實施例中,介電絕緣體128係置於軸142與腔室主體ι〇2 之間。絕緣體可額外地支撐軸142或適以作為其之軸 承。 一實施例中,支撐組件丨3 8額外地支撐圍繞陰影框架 (未顯示)。一般而言,陰影框架可避免在基材14〇之邊緣 與支撐組件138處的沉積使得基材14〇不會黏著於支撐組 件 138 〇 支撐組件138具有複數個配置其中之孔好接受複數個 舉升銷1 5 0。舉升銷1 5 〇通常係由陶瓷或電鍍鋁所構成, 且當舉升銷1 5 0處於正常位置時(即,相對支撐組件ι 3 8 為縮回),其第一端大致與支撐組件138之上側134齊平或 稍微内凹。當支撐組件丨3 8降低至傳送位置時,舉升銷i 5 〇 與腔室主體102之底部108接觸並穿過支撐組件138而移 動以自支撐組件1 3 8之上側ι 3 4伸出,藉此將基材ι 4 〇與 支撐組件1 3 8分離。 一實施例中,利用不同長度之舉升銷〗5 0(如第1 A圖 所示)以便其在不同時間與底部1 〇 8接觸並啟動。例如,將 舉升銷1 5 0間隔配置在基材ι 4 〇之外緣,搭配自外緣向基 材140中心向内間隔配置相對較短之舉升銷150,使得基 14 200834688A heating element 132, such as an electrode or a resistive element, is coupled to a power source (not shown) and controllably heats the support assembly 138 and the substrate 140 thereon to a predetermined temperature. In general, heating element 132 maintains substrate 140 at a consistent temperature of between about 150 ° C and at least about 460 ° C during processing. The heating element 132 is electrically floating relative to the body 1 24. In general, the support assembly 138 has a lower side 126 and an upper side 134 on which the support substrate 140 is disposed. The lower side 126 has a lever cover 144 coupled thereto. The rod cover 144 is typically an aluminum ring coupled to the support assembly 丨38, which provides a mounting surface for the shaft 142 to be coupled thereto. In general, the shaft 142 extends from the stem cover 144 through the chamber bottom 1〇8 and couples the support assembly 138 to the lift system 136, which can be in the raised processing position (as shown) and lowered position The support assembly 1 δ is moved to promote substrate transfer. The bellows 146 provides a vacuum seal between the process volume 112 and the outside air of the chamber body 1〇2 while facilitating vertical movement of the support assembly 138. The shaft 142 additionally provides a conduit for the electrical and thermocouple wires between the support assembly 138 and the system ι other components. The shaft 142 can be electrically isolated from the chamber body 1〇2. In the embodiment illustrated in Figure 1A, a dielectric insulator 128 is placed between the shaft 142 and the chamber body ι2. The insulator can additionally support the shaft 142 or be suitable as its bearing. In one embodiment, the support assembly 丨38 additionally supports a shadow frame (not shown). In general, the shadow frame prevents deposition at the edge of the substrate 14 and the support assembly 138 such that the substrate 14 does not adhere to the support assembly 138. The support assembly 138 has a plurality of configurations in which the holes are well received Upselling 1 500. The lift pin 15 〇 is usually made of ceramic or electroplated aluminum, and when the lift pin 150 is in the normal position (ie, retracted relative to the support assembly ι 3 8 ), the first end is substantially associated with the support assembly The upper side 134 of the 138 is flush or slightly concave. When the support assembly 丨38 is lowered to the transfer position, the lift pin i5〇 contacts the bottom 108 of the chamber body 102 and moves through the support assembly 138 to extend from the upper side ι 3 4 of the support assembly 138. Thereby, the substrate ι 4 分离 is separated from the support assembly 138. In one embodiment, the lift pins of different lengths are used (as shown in Figure 1A) so that they come into contact with the bottom 1 〇 8 and start at different times. For example, the lift pins 150 are spaced apart from the outer edge of the substrate ι 4 ,, and a relatively short lift pin 150 is disposed inwardly spaced from the outer edge toward the center of the substrate 140, such that the base 14 200834688

材1 40首先在相對其中心之外緣處被舉起。另一實施例 中,可利用相同長度之舉升銷1 5 0搭配位於外側舉升銷1 5 0 下之凸塊或平臺1 8 2 (虛線表示),使得外側舉升銷丨5 〇較 早啟動並移動基材140離開上表面134較長之距離(相對於 内側舉升銷1 50)。或者,腔室底部1 〇8可包括内側舉升銷 1 5 0下的槽或溝,使得内側舉升銷1 5 0較晚啟動並比外側 舉升銷150移動較短之距離。具有適以自基材支撐件以邊 緣至中心方式舉起基材之舉升銷的系統且適於自本發明取 得好處之實施例描述於Shan g等人在2002年12月2日申 請之美國專利申請號10/308,3 85且在2004年1月31日核 發之美國專利號6,676,761,以及Blonigan等人在2003年 6月12日申請之美國專利申請號1 0/460,91 6且在2006年 10月3日核發之美國專利號7,083,702,兩者皆以其全文 在此併入本文中以作參考。 支撐組件1 3 8在處理過程中通常為接地的,使得電源 122向分配板118(或其他位於腔室主體102之蓋組件u〇 附近或内部的其他電極)供應之RF功率可激發介於支撐組 件1 3 8與分配板1 1 8間之處理容積1 1 2中的氣體。通常挑 選與基材1 4〇大小相符之RF功率(來自電源1 22)以驅使化 學氣相沉積處理。 第1 B圖係具有本發明之寬的RF接地片1 84之電槳辅 助式化學氣相沉積系統1 〇〇之一實施例的剖面圖。 15 參照第3圖、 通常包括一第一端 200834688 第i c圖係具有本發明之基材延伸桿i 7 〇之 式化學氣相沉積系統1〇〇之一實施例的剖面圖。 中’延伸桿170透過螺紋孔、固定件706與夾鉗 著於傳導性支撐主體的周邊。 第2圖係基材支撐組件138之實施例的頂 示延伸桿170附著於基材支撐組件138的一實 施例中,延伸桿170具有至少一凹口 2〇2。凹 停止桿702 (顯示於第7圖中)接觸延伸桿170 支撐組件138任何近-步的向上垂直移動。雖 所示之實施例顯示一延伸桿17〇輕接至基材支 之周邊,但應當理解任何數目之延伸桿(例如,ε 可用於本發明中。斜 个對利用多個延伸桿之實施 步理解延伸桿可形成一 取早一部件且與基材支撐 接。亦描述嵌入式‘為—α …、几件1 3 2之一實施例。 隨著基材支撐株 ^細放—壯 件大小的提高,將表面積提 撐、、且件戈裝於處理言 ,^ 至中逢得非常難且在某些實 成。由於這些大小 .的限制,當將支撐組件1 3 8 糸統1 0 〇中時,> & ^ ^ ^ 文裝延伸桿170之前先將支 女裝於處理系統Μ 柿/ 中。因此在將支撐組件1: 理糸統100中之德 ^ ^ 延伸桿1 70可允許支撐組 檟的提兩。 第4圖與第5圖,寬的RF接 302與一第二端304以及至 電漿輔助 一實施例 708而附 圖,其顯 例。一實 202可讓 避免基材 第2圖中 組件1 3 8 目延伸桿) ,可進一 件138耦 之基材支 中無法達 裝於處理 組件1 3 8 安裝於處 138表面 地片184 少一曲折 16 200834688 306。第一彎曲部分3〇8自曲折3〇6延伸至第一端3〇2而第 一 ”弓曲部分310自曲折3〇6延伸至第二端3〇4。第一端302 包括安裳凸緣314而第二端3〇4亦包括安裝凸緣316。彎 曲部分308、310之外形大致為四邊形且可讓基材支撐組件 13 8相對腔室底部〗〇8進行垂直移動。一實施例中,寬的 RF接地片184具有一狹縫312自第一彎曲部分3〇8通過曲 折306延伸至第二彎曲部分31〇。狹縫312有助於提高寬 的RF接地片1 84之彈性。 览的RF接地片1 84包括具彈性、低阻抗傳導材質, 該材質可耐受處理與清潔之化學作用。一實施例中,寬的 RF接地片184係由銘所構成。或者,寬的RF接地片184 可包括欽、不鏽鋼、鈹鋼或由傳導性金屬塗層所塗覆之彈 性材質。 第3圖係具有根據本發明之寬的rF接地片之電漿輔 助式化學氣相沉積系統之一實施例的剖面圖。寬的RF接 地片184提供支撐組件138與腔室主體1〇2之間的RF回 "丨匕電机路控。寬的RF接地片184之第一端302經由連接 組件3 1 8而電性輕接至支撐組件1 3 8,通常係耦接至支撐 主體124之下側126,而第二端304藉由底部夾鉗324而 電丨生耦接至腔室底部i 〇8。舉例來說,寬的rf接地片i 84 可、’二由其他構件輕接至支撐主體1 24,諸如固定件、夾钳 或其他維持去擔:^ 牙主體U4與寬的rf接地片184之間電性 連接的方法。洁姑& 遷接組件318自支撐主體124之周邊向外延 17 200834688 伸並與之垂直。 322 Τ1 ΰ 接、、且件3 18包括一頂片32〇與一底片 322 。頂片 32〇 地片184之第— 形片而底片322包括平板。寬的接 底片322之間::3〇2的安裝凸緣314係置於頂片320與 3圖所述之實施例中,連接組件3 1 8經 由兩個固定件盥相 一 ”相對應之螺紋孔固定至支撐主體124。第 一端304具有_安 ^The material 1 40 is first lifted at the outer edge relative to its center. In another embodiment, the lifting pin 150 of the same length can be used to match the bump or the platform 1 8 2 (indicated by the dashed line) under the outer lifting pin 150, so that the outer lifting pin 5 〇 is earlier The substrate 140 is activated and moved a longer distance from the upper surface 134 (relative to the inner lift pin 150). Alternatively, the chamber bottom 1 〇 8 may include a groove or groove below the inner lift pin 150 such that the inner lift pin 150 moves late and moves a shorter distance than the outer lift pin 150. An embodiment having a system adapted to lift a lift pin of a substrate from a substrate support in an edge-to-center manner and adapted to benefit from the present invention is described in the United States, filed on December 2, 2002 by Shan g et al. U.S. Patent No. 6,676,761, issued on January 31, 2004, and U.S. Patent Application Serial No. 10/460,91, filed on Jun. U.S. Patent No. 7,083,702, issued Oct. 3, 2006, which is incorporated herein by reference in its entirety. The support assembly 138 is typically grounded during processing such that the RF power supplied by the power source 122 to the distribution plate 118 (or other electrode located near or within the cover assembly u〇 of the chamber body 102) can be excited between the supports The gas in the processing volume 1 1 2 between the assembly 1 3 8 and the distribution plate 1 1 8 . The RF power (from power source 1 22) corresponding to the size of the substrate is typically selected to drive the chemical vapor deposition process. Figure 1B is a cross-sectional view of one embodiment of an electric paddle assisted chemical vapor deposition system 1 having a wide RF ground lug 1 84 of the present invention. 15 Referring to Fig. 3, there is generally included a first end 200834688. The second embodiment is a cross-sectional view of an embodiment of a chemical vapor deposition system of the substrate extension rod i 7 本 of the present invention. The middle extension rod 170 passes through the threaded hole, the fixing member 706 and the clamp to the periphery of the conductive support body. 2 is an embodiment in which the extension rod 170 of the embodiment of the substrate support assembly 138 is attached to the substrate support assembly 138, the extension rod 170 having at least one notch 2〇2. The concave stop rod 702 (shown in Figure 7) contacts the extension rod 170 support assembly 138 for any near-upward vertical movement. Although the illustrated embodiment shows an extension rod 17 〇 lightly attached to the periphery of the substrate support, it should be understood that any number of extension rods (e.g., ε can be used in the present invention. An oblique pair of steps using multiple extension rods) It is understood that the extension rod can form an early part and is supported by the substrate. It also describes an embodiment of the embedded 'α-α, several pieces 1 3 2 . With the substrate support ^ fine - strong size The improvement, the surface area is supported, and the parts are installed in the process, ^ is very difficult and in some implementations. Due to the limitations of these sizes, when the support assembly 1 3 8 1 1 0 〇 In the middle, >& ^ ^ ^ before the extension of the extension rod 170 will be used in the processing system 柿 persimmon / in. Therefore, in the support assembly 1: the system of the ^ 100 ^ ^ extension rod 1 70 can Allowing the support group to be lifted by two. Figures 4 and 5, the wide RF connection 302 and a second end 304 and to the plasma assist an embodiment 708 and the drawings, an example of which can be avoided The component 1 3 8 mesh extension rod in the second figure of the substrate can be inserted into the processing group in the substrate branch of the 138 coupling. Item 1 3 8 Mounted on the surface 138 Surface 184 One less twist 16 200834688 306. The first curved portion 3〇8 extends from the meander 3〇6 to the first end 3〇2 and the first “bow portion 310 extends from the meander 3〇6 to the second end 3〇4. The first end 302 includes an Anshang convex The rim 314 and the second end 3〇4 also include a mounting flange 316. The curved portions 308, 310 are generally quadrangular in shape and allow the substrate support assembly 138 to move vertically relative to the bottom of the chamber 。8. In one embodiment The wide RF ground lug 184 has a slit 312 extending from the first curved portion 3〇8 through the meander 306 to the second curved portion 31. The slit 312 helps to increase the flexibility of the wide RF ground lug 1 84. The RF ground lug 1 84 includes a resilient, low-impedance conductive material that withstands the chemical effects of handling and cleaning. In one embodiment, the wide RF ground lug 184 is constructed of the same. Or, a wide RF ground. The sheet 184 may comprise a plastic material coated with a plastic, a stainless steel, a tantalum steel or a conductive metal coating. Figure 3 is one of the plasma-assisted chemical vapor deposition systems having a wide rF grounding strip according to the present invention. A cross-sectional view of an embodiment. A wide RF ground lug 184 provides support assembly 138 and chamber main The RF back between the 〇2 and the 丨匕 motor is controlled. The first end 302 of the wide RF grounding strip 184 is electrically connected to the support assembly 138 via the connection assembly 3 18 and is typically coupled. To the lower side 126 of the support body 124, and the second end 304 is electrically coupled to the bottom of the chamber by means of a bottom clamp 324. For example, a wide rf ground plane i 84 can be Other components are lightly attached to the support body 1 24, such as a fixture, clamp, or other method of maintaining electrical connection between the tooth body U4 and the wide rf ground plate 184. The cleaning and assembly unit 318 The periphery of the support body 124 extends toward and perpendicular to the extension 17 200834688. The 322 Τ 1 ΰ , and the member 3 18 includes a top sheet 32 〇 and a back sheet 322 . The top sheet 32 第 the first piece of the ground piece 184 and the negative film 322 includes a flat plate. The mounting flange 314 between the wide backing sheets 322::3〇2 is placed in the embodiment of the top sheets 320 and 3, and the connecting assembly 3 1 8 is connected via two fixing members. The corresponding threaded hole is fixed to the support body 124. The first end 304 has _安^

^ ,, ^ 裝凸緣3 1 6與其耦接以促進將寬的RF 钱地片1 8 4桌黑姓=nju ^ , 至底部1 0 8。一實施例中,安裝凸緣 3 1 6經由一固定^ , , ^ Flange 3 1 6 is coupled with it to promote the wide RF money piece 1 8 4 table black surname = nju ^ , to the bottom 1 0 8 . In one embodiment, the mounting flange 3 16 is secured by a

一螺紋孔固定至腔室底部1 0 8並介於腔 至底部108與底卹+ A -°失鉗3 24之間。可以理解可利用黏著劑、 失甜或其他維接脾金 ^ 、 至主體102與寬的RF接地片184之間 電性連接的方法而將寬的RF接地片184附著於腔室底.部 1 0 8與/或支撐組件1 3 g。 連接組件318與底部夾钳324各自包括可耐受處理與 清潔化學作用的低阻抗傳導材質。一實施例中,連接組件 318與底部夾鉗324包括鋁。或者,該材質可包括鈦、不 鏽鋼、鈹鋼或由傳導性金屬塗層所塗覆之任何材質。另一 實施例中,連接組件318包括第一傳導材質而底部夾鉗324 包括第二傳導材質,其中第一傳導材質與第二傳導材質為 不同材質。 一實施例中,寬的RF接地片〗8 4之至少一部分與側 壁106之距離為X。支撐主體124與側壁ι〇6之距離為γ。 寬的RF接地片184之至少一部分與側壁1〇6之間的距離χ 通常小於支撐主體1 24與側壁丨〇6之間的距離γ。一實施 18 200834688 例中,針對25K (約27,750 cm2)或更大之 常介於約0·2 cm至約3 cm之間,例如約 與傳統接地技術相比,寬的RF接地 短RF電流到地面的回流路徑。電流由電驾 該基材140電性接觸於支撐組件138之支 體124之下側丨26電性接觸於寬的RF接 電流由主體1 24傳送經過寬的RF接地片 面耦接之腔室底部108。再者,寬的rf接 之傳ir f k供較大之電流攘帶區域,使得 於大面積處理應用。寬的RF接地片184 大電流攜帶能力造成支撐组件138表面與 1 02之間較小的電壓差異,藉此實質上減 樓組件1 3 8下方點燃的可能性,這可能會 射不欲之污染物。 一實施例中,基材支撐組件〗3 8藉Έ 接地片184而接地,該接地片在支撐主體 提供低阻抗之RF回流路徑。例如,四群卷 可輕接至四邊基材支撐主體124之各個相 組包括介於1至丨5之間寬的RF接地片 13之間寬的RF接地片1 84 。另一實施例A threaded hole is secured to the bottom of the chamber 1 0 8 and between the cavity to the bottom 108 and the bottom plate + A - ° tongs 3 24 . It can be understood that a wide RF grounding strip 184 can be attached to the bottom of the chamber by means of an adhesive, sweetening or other spleen-free metal, to the electrical connection between the body 102 and the wide RF grounding strip 184. 0 8 and / or support assembly 1 3 g. Connection assembly 318 and bottom clamp 324 each include a low impedance conductive material that is resistant to processing and cleaning chemistry. In one embodiment, the connection assembly 318 and the bottom clamp 324 comprise aluminum. Alternatively, the material may comprise titanium, stainless steel, tantalum steel or any material coated with a conductive metal coating. In another embodiment, the connection assembly 318 includes a first conductive material and the bottom clamp 324 includes a second conductive material, wherein the first conductive material and the second conductive material are of different materials. In one embodiment, at least a portion of the wide RF ground strip 84 is at a distance X from the side wall 106. The distance between the support body 124 and the side wall 〇6 is γ. The distance χ between at least a portion of the wide RF ground lug 184 and the sidewalls 〇6 is generally less than the distance γ between the support body 1 24 and the sidewall 丨〇6. In an embodiment 18 200834688, for 25K (about 27,750 cm2) or more, it is usually between about 0. 2 cm and about 3 cm. For example, a wide RF ground short RF current is compared to the conventional grounding technique. The return path of the ground. The current is electrically contacted by the substrate 140 electrically contacting the lower side of the support 124 of the support assembly 138. The electrical contact with the wide RF current is transmitted by the body 1 24 through the wide RF ground plane surface coupled to the bottom of the chamber. 108. Furthermore, the wide rf is connected to the ir f k for larger current banding regions, enabling large area processing applications. The wide RF grounding strip 184 high current carrying capacity causes a small voltage difference between the surface of the support assembly 138 and 02, thereby substantially reducing the likelihood of ignition below the building assembly 138, which may be unintentional contamination Things. In one embodiment, the substrate support assembly is grounded by a ground pad 184 that provides a low impedance RF return path in the support body. For example, the four sets of rolls can be lightly coupled to each of the four-sided substrate support bodies 124 including a wide RF ground lug 1 84 between the RF ground lugs 13 between 1 and 丨5. Another embodiment

數目之寬的RF接地片184搭配傳統接地J 一實施例中,至少一如white等人在 曰申請之美國專利申請案號丨1/564,463所 基材,距離X通 0.5 c m 〇 片1 8 4明顯地縮 U專至基材140, .擇主體124。主 ‘地片1 8 4,因此 1 8 4並到達與地 地片1 8 4比現行 其理想地適合用 之較短距離與較 接地之腔室主體 少電聚在基材支 在系統1 0 2中濺 每複數個寬的RF 1 2 4與地面之間 I地路徑構件1 8 4 對側邊。各個群 1 8 4,例如1 1至 中,可利用任何 2006 年 η 月 2〇 述之接地路徑完 19 200834688 整性感應器(未顯示)接合於寬的RF接地片184。接α 我'地I路徑^ 完整性感應器可促進監測寬的RF接地片i 84是否保持^ 合在支撐主體124與腔室主體1〇2之間傳導電流。 第4圖係第3圖所示之寬的RF接地片之側視圖。如 圖所示,寬的RF接地片184具有足夠之彈性可允許基材 支撐組件138在箭頭400所示之方向上改變高度。雖然第 4圖中所示之寬的RF接地片184僅包括一曲折3〇6,但是 可在寬的RF接地片1 84中形成多個曲折以形成類似手風 琴之結構。其他實施例亦包括不具任何曲折之寬的接 地片。曲折3 〇 6位於多邊形基材支撐組件〗3 8下方且指向 大致平行於支撐組件138之周邊。曲折3〇6預先形成於寬 的RF接地片1 84中以提高寬的rf接地片1 μ之使用壽 命;基材組件138在箭頭400所示之方向上的垂直移動傳 送給寬的RF接地片184之反覆壓力可能會造成曲折破 裂’迫使寬的R F接地片1 8 4之更換。參照第1圖,隨著 軸142向下移動,複數個舉升銷150接觸腔室底部1〇8因 而提尚基材1 4 0離開支撐組件1 3 §。軸1 4 2向下移動的過 程中,寬的RF接地片184之曲折3 06由基材支撐組件138 之周邊向内伸出,同時仍然保持與支撐組件138之周邊的 電性接觸。如第4圖中所示般,隨著寬的rf接地片184 屈曲,寬的RF接地片184不會與舉升鎖is❶交叉。 第5圖係根據本發明之寬的rf接地片之一實施例的 平面圖。如上所述,寬的RF接地片184具有第一端3〇2 20 200834688A wide number of RF grounding lugs 184 are used in conjunction with conventional grounding J. In one embodiment, at least one of the substrates of U.S. Patent Application Serial No. 1/564,463, the entire disclosure of which is incorporated herein by reference. Clearly U is exclusively applied to the substrate 140, and the body 124 is selected. The main 'ground piece 1 8 4, therefore 1 8 4 and reach the ground piece 1 8 4 than its current ideally suitable for a shorter distance and less grounded than the grounded chamber body in the substrate support system 10 2 Splashes each of the multiple RF 1 2 4 and the ground between the ground path member 1 8 4 to the side. Each group 1 8 4, such as 1 1 to , can be joined to a wide RF ground lug 184 using any of the ground paths described in November 2006. The integrity sensor can facilitate monitoring whether the wide RF ground lug i 84 is held to conduct current between the support body 124 and the chamber body 1〇2. Figure 4 is a side view of the wide RF ground strip shown in Figure 3. As shown, the wide RF ground lug 184 is sufficiently flexible to allow the substrate support assembly 138 to change height in the direction indicated by arrow 400. Although the wide RF ground lug 184 shown in Fig. 4 includes only a meander 3〇6, a plurality of zigzags may be formed in the wide RF ground lug 1 84 to form a structure similar to an accordion. Other embodiments also include a ground plane that does not have any tortuous width. The meander 3 〇 6 is located below the polygonal substrate support assembly 3.8 and directed generally parallel to the perimeter of the support assembly 138. The zigzag 3〇6 is pre-formed in the wide RF ground lug 1 84 to increase the lifetime of the wide rf ground lug 1 μ; the vertical movement of the substrate assembly 138 in the direction indicated by the arrow 400 is transmitted to the wide RF ground lug The repeated pressure of 184 may cause a tortuous fracture 'forcing the replacement of the wide RF grounding strip 1 8 4 . Referring to Fig. 1, as the shaft 142 moves downward, a plurality of lift pins 150 contact the bottom of the chamber 1 〇 8 to thereby lift the substrate 1 400 away from the support assembly 13 §. During the downward movement of the shaft 1 4 2, the zigzag 3 06 of the wide RF ground lug 184 projects inwardly from the periphery of the substrate support assembly 138 while still maintaining electrical contact with the periphery of the support assembly 138. As shown in FIG. 4, as the wide rf ground lug 184 flexes, the wide RF ground lug 184 does not intersect the lift lock is. Figure 5 is a plan view of one embodiment of a wide rf ground strip in accordance with the present invention. As described above, the wide RF ground strip 184 has a first end 3〇2 20 200834688

與第二端304以及至少一曲折306。一實施例中,接地片 由第一端302至第二端304之長度係介於約60 cm至約70 c m之間,例如約6 2 c m。一實施例中,自曲折3 0 6朝向第 一端3 02之第一彎曲部分308的長度係介於約30 cm至約 3 5 cm之間,例如約3 1 cm。一實施例中,自曲折3 0 6朝向 第二端3 04之第二彎曲部分3 1 0的長度係介於約3 0 cm至 約3 5 c m之間,例如約3 1 c m。彎曲部分外形大致為四邊 形且允許支撐組件相對腔室底部1 0 8的垂直移動。一實施 例中,寬的RF接地片184具有一狹縫,其自第一彎曲部 分308通過曲折306延伸至第二彎曲部分310。狹縫在與 第一端302相差約10 cm至約20 cm之間(例如,約19 cm) 處開始,並延伸經過曲折3 0 6且結束於與第二端3 04相差 約1 0 c m至約2 0 c m之間(例如,約1 9. c m)處。狹縫寬度係 介於約1 c m至約8 c m之間(例如,約1 · 6 c m寬)。寬的 RF接地片之厚度係介於約0 · 2 mm至約about 0 · 3 mm之間 (例如,約0.25 mm厚)。寬的RF接地片184之第一端302 的安裝凸緣314包括兩個固定孔,其適於接收配置穿過連 接組件3 1 8之兩個固定件(如第3圖與第4圖中所示)。寬 的RF接地片184之第二端304的安裝凸緣316包括一個 固定孔,其適於接收配置穿過底部夾鉗324之一固定件。 第6 A圖係根據本發明之連接組件3 1 8之一實施例的 側視圖。連接組件318包括一頂片320與一底片322。頂 片320包括L形片而底片322包括平板。頂片320與底片 322兩者具有至少一組校準之固定孔並適以接受至少一固 21 200834688And the second end 304 and at least one zigzag 306. In one embodiment, the length of the ground strip from the first end 302 to the second end 304 is between about 60 cm and about 70 cm, such as about 6 2 c m. In one embodiment, the length of the first curved portion 308 from the meander 3 0 6 toward the first end 312 is between about 30 cm and about 35 cm, such as about 31 cm. In one embodiment, the length of the second curved portion 3 1 0 from the meander 3 0 6 toward the second end 3 04 is between about 30 cm and about 35 c m, for example about 3 1 c m. The curved portion is generally quadrangular in shape and allows vertical movement of the support assembly relative to the bottom of the chamber 108. In one embodiment, the wide RF ground lug 184 has a slit that extends from the first curved portion 308 through the meander 306 to the second curved portion 310. The slit begins at a distance of between about 10 cm and about 20 cm (eg, about 19 cm) from the first end 302 and extends through the tortuous 3 06 and ends at about 10 cm from the second end 3 04 to Between about 20 cm (for example, about 19.9 cm). The slit width is between about 1 cm and about 8 cm (e.g., about 1 · 6 c m wide). The thickness of the wide RF ground lug is between about 0. 2 mm and about about 0 · 3 mm (eg, about 0.25 mm thick). The mounting flange 314 of the first end 302 of the wide RF ground lug 184 includes two securing holes adapted to receive two fasteners disposed through the connecting assembly 3 1 8 (as shown in Figures 3 and 4) Show). The mounting flange 316 of the second end 304 of the wide RF ground lug 184 includes a securing aperture adapted to receive a securing member disposed through the bottom gripper 324. Figure 6A is a side elevational view of one embodiment of a connector assembly 318 in accordance with the present invention. The connector assembly 318 includes a topsheet 320 and a backsheet 322. The topsheet 320 includes an L-shaped sheet and the backsheet 322 includes a flat sheet. Both the topsheet 320 and the backsheet 322 have at least one set of calibrated mounting holes and are adapted to receive at least one solid 21 200834688

定件(未顯示),例如安裝螺絲 的RF接地片184之安裝凸緣 撐主體124。因此,寬的rf 與頂片3 2 0之間。 外延伸並與之垂直 接組件3 1 8之頂片 ’其配置穿過底片322、寬 314、頂片320且最終穿過支 接地片184係夾於底片322 孔602、604,經排列並適以接收至少一固定件。第6c圖 係根據本發明之第6A圖的連接組件之前視圖。 連接組件 丁 自支撐主體124之周邊向 第6 B圖係根據本發明之第6 a圖的連 320的頂視圖。頂#具有至少—組固定A mounting member (not shown), such as the mounting flange support body 124 of the RF ground lug 184 of the mounting screw. Therefore, between the wide rf and the top sheet 3 2 0. The topsheet that extends outwardly and perpendicularly to the assembly 3 18 is disposed through the backsheet 322, the width 314, the topsheet 320, and ultimately through the support 184 to the apertures 602, 604 of the backsheet 322, aligned and adapted To receive at least one fixing member. Figure 6c is a front view of the connection assembly in accordance with Figure 6A of the present invention. Connection assembly D. The periphery of the self-supporting body 124 is shown in Fig. 6B as a top view of the connection 320 according to Fig. 6a of the present invention. Top # has at least - group fixed

第7圖係具有根據本發明之基材延伸桿的電聚輔助式 化學氣相沉積系統之一實施例的剖面圖。此實施例中,雖 然繪式之基材延伸桿170不具寬的RF接地片184,但是可 如第1圖所示般搭配寬的RF接地片184而應用基材延伸 桿170。延伸桿170係連接於基材支撐組件138之支撐主 體1 24的周邊。一實施例中,延伸桿丨7〇係經由螺紋孔、 固定件706與夾鉗708連接於支撐主體124的周邊。可以 理解可用黏著劑、硬焊(brazing)或其他方法將延伸桿i 7〇 連接至支撐組件1 3 8。 一實施例中,延伸桿1 70具有至少一凹口 202。凹口 202可讓停止桿702 (連接於腔室側壁106)接觸延伸桿ι7〇 並避免基材支撐組件138任何近一步的向上垂直移動。停 止桿7 0 2係可調整且可位於不同高度,而這取決於使用者 之需求。基材延伸桿17〇自支撐組件138之下側126向下 延伸並與之垂直。其他實施例中,基材延伸桿1 70可經修 22 200834688 改以形成相對支撐組件丨3 8之下側丨2 6的銳角或鈍角。可 根據使用者之要求挑選所欲之角度好控制側壁丨〇 6上以及 基材支撐組件138之支撐主體124下方的電漿形成。 延伸桿1 70可包括耐受處理與清潔化學作用之低阻抗 傳導材質。一實施例中,延伸桿丨7〇包括鋁。或者,該材 質可包括鈦、不鏽鋼(例如,INC〇NEL⑧)、鈹銅或由傳導 性金屬塗層所塗覆之任何材質。另一實施例中,延伸桿i 7 〇 包括聚合物材質。舉例來說,聚合物材質包括諸如聚苯硫 (polyphenylene sulfide, PPS)與聚醚醚酮 (polyetheretherketone,PEEK)之材質。 第8圖係具有根據本發明之基材延伸桿的電漿輔助式 化學氣相沉積系統之一實施例的剖面圖。此實施例中,雖 然繪式之基材延伸桿802不具寬的rf接地片184,但是可 搭配寬的RF接地片184來應用基材延伸桿8〇2。此實施例 中,基材延伸桿802係連接至支撐主體124之側邊。一實 施例中,延伸桿170經由螺紋孔(未顯示)與固定件(未顯示) 而固定於支撐主體124之周彡。可以理解可用黏著劑、夾 鉗、硬焊或其他方法將延伸桿802連接至支撐組件138。 第9圖顯示一流程圖900,其根據本發明之一實施例 描述處理基材之步驟。參照第圖,步驟9〇2中,提供 輕接於基材支撐組件138與腔室底部1〇8間之寬的接地片 184。接下來在步驟904中,提供RF功率給置於基材14〇 23 200834688 上方之分配板118。接下來在步驟9〇6中,電漿處理置於 基材支撐組件1 3 8上之基材1 4 0。 第10圖顯示一流程圖1 000,其根據本發明之一實施 例描述處理基材之步驟。參照第圖,步騍1〇〇2中,提 供含有耦接至基材支撐组件138之周邊的延伸桿17〇之處 理系統100。步驟1004中,提供RF功率給置於基材14〇 上方之分配板118。在步驟1 006中,流動氣體經過分配板 118。步驟1008中,電漿處理置於基材支撐組件138上之 基材140。步驟1010中,調控氣流以提高基材處理室中之 電漿密度。 參照第1圖,並不受到原理所限制除非明確陳述於申 請專利範圍’字母A代表分散器丨i 8之電位、字母B代表 支撐組件138上之電位、字母C代表寬的RF接地片μ# 上之電位而字母D代表腔室主體ι〇2上之電位。然而在一 態樣中,當對分散器1 1 8施加一電位(例如,A電位)時, 支撐組件1 3 8雖然利用寬的rf接地片〗8 4輕接至地面, 但其電位不是零而是某一電位(例如,B電位)。a電位與b 電位之間的差異在分散器118與支撐組件138之間產生電 漿。同樣地,支撐組件上之電位(B電位)與腔室主體上之 電位(D電位)之間的差異會在腔室主體1 〇2與支撐组件1 μ 之間產生電漿。此電位差異會導致在腔室主體1〇2上沉積 不欲之多孔性膜。此多孔性膜在沉積過程中成片剝落,會 造成膜内的微粒污染。 胃 24Figure 7 is a cross-sectional view of one embodiment of an electropolymer-assisted chemical vapor deposition system having a substrate extension rod in accordance with the present invention. In this embodiment, although the base extension rod 170 of the drawing does not have a wide RF ground lug 184, the base extension rod 170 can be applied with a wide RF ground lug 184 as shown in Fig. 1. The extension rod 170 is attached to the periphery of the support body 1 24 of the substrate support assembly 138. In one embodiment, the extension rods 7 are coupled to the periphery of the support body 124 via threaded holes, fasteners 706 and clamps 708. It will be appreciated that the extension rod i 7 〇 can be attached to the support assembly 138 by an adhesive, brazing or other means. In one embodiment, the extension rod 170 has at least one notch 202. The recess 202 allows the stop lever 702 (attached to the chamber sidewall 106) to contact the extension rod 〇7〇 and avoid any further upward vertical movement of the substrate support assembly 138. The stop levers 7 0 2 are adjustable and can be at different heights, depending on the needs of the user. The substrate extension rod 17 extends downwardly from the lower side 126 of the support assembly 138 and is perpendicular thereto. In other embodiments, the substrate extension rod 1 70 can be modified to form an acute or obtuse angle relative to the lower side 丨 26 of the support assembly 丨38. The desired angle can be selected according to the user's requirements to control the plasma formation on the side wall 丨〇 6 and under the support body 124 of the substrate support assembly 138. The extension rod 1 70 can include a low impedance conductive material that is resistant to processing and cleaning chemistry. In one embodiment, the extension rod 丨7〇 comprises aluminum. Alternatively, the material may comprise titanium, stainless steel (e.g., INC〇NEL8), beryllium copper, or any material coated with a conductive metal coating. In another embodiment, the extension rod i 7 包括 comprises a polymeric material. For example, polymeric materials include materials such as polyphenylene sulfide (PPS) and polyetheretherketone (PEEK). Figure 8 is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system having a substrate extension rod in accordance with the present invention. In this embodiment, although the base extension rod 802 is not provided with a wide rf ground lug 184, the base extension rod 8〇2 can be applied with a wide RF ground lug 184. In this embodiment, the substrate extension rod 802 is attached to the side of the support body 124. In one embodiment, the extension rod 170 is secured to the circumference of the support body 124 via a threaded bore (not shown) and a fastener (not shown). It will be appreciated that the extension rod 802 can be coupled to the support assembly 138 with an adhesive, clamp, brazing or other means. Figure 9 shows a flow chart 900 depicting the steps of processing a substrate in accordance with an embodiment of the present invention. Referring to the figure, in step 9A2, a wide ground lug 184 is provided which is lightly coupled between the substrate support assembly 138 and the bottom 1b of the chamber. Next in step 904, RF power is supplied to a distribution plate 118 placed over the substrate 14 〇 23 200834688. Next, in step 9〇6, the plasma treatment is applied to the substrate 1 40 on the substrate support assembly 138. Figure 10 shows a flow chart 1 000 illustrating the steps of treating a substrate in accordance with an embodiment of the present invention. Referring to the figure, in step 〇〇2, an extension rod 17 〇 系统 系统 含有 含有 含有 含有 含有 含有 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 In step 1004, RF power is supplied to a distribution plate 118 disposed above the substrate 14A. In step 1 006, the flowing gas passes through distribution plate 118. In step 1008, the substrate 140 is placed on a substrate support assembly 138 by plasma processing. In step 1010, the gas flow is regulated to increase the plasma density in the substrate processing chamber. Referring to Figure 1, it is not limited by principle unless explicitly stated in the scope of the patent application 'letter A represents the potential of the disperser 丨i 8, the letter B represents the potential on the support assembly 138, and the letter C represents the wide RF ground plane μ# The upper potential and the letter D represent the potential on the chamber body ι2. In one aspect, however, when a potential (e.g., A potential) is applied to the disperser 1 18, the support assembly 138 is lightly connected to the ground using a wide rf ground plane, but its potential is not zero. It is a certain potential (for example, B potential). The difference between the a potential and the b potential produces a plasma between the disperser 118 and the support assembly 138. Similarly, the difference between the potential (B potential) on the support assembly and the potential (D potential) on the chamber body produces a plasma between the chamber body 1 〇 2 and the support assembly 1 μ. This potential difference causes deposition of an undesired porous film on the chamber body 1〇2. This porous film flakes off during the deposition process, which causes particle contamination in the film. Stomach 24

200834688 寬的RF接地片184在古掙知说 任支撐組件1 3 8與腔室 之間提供低的阻抗路徑。官的 _ i 覓的RF接地片184增The 200834688 wide RF ground lug 184 provides a low impedance path between the support assembly 138 and the chamber. The official _ i RF RF grounding piece 184 increase

可減少支撐組件138之電位(B 俨1fn 位(β電位),以便其接 體102之電位(D電位), }因而減少腔室主體102 件…之間產生的電聚。寬的RF接地片增加之 減少破損因而提高寬的RF接地片之壽命。再者 接地片1 8 4接近腔室側卷;n &士丄 側壁1 06有助於減少腔室侧 支撐組件13…F接地片之間產生的感應電㈣ 延伸桿170減少腔室側壁1〇6與狹缝闕通道 上之氣流。減少之氣流會減少腔室侧壁1〇6與狹 1 60兩者上沉積之多孔性膜。 因此,已經提出減少PECVD腔室中膜内微 之方法與設備。使用寬的RF接地片以及其鄰近 有利地減少腔室不欲之部分中產生之電漿,因而 微粒污染物之減少。使用寬的RF接地片進一步 支撐件接地能力的增加,同時亦提高接地片之壽 造成系統因為腔室清潔與置換接地片之停工期的 用基材延伸桿170提供進一步的優點,其可進一 流與電漿產生。 雖然上述係關於本發明之實施例,但可在不 明之範圍設計其他與進一步的實施例,而其之範 述之申請專利範圍所決定。 主體102 加之寬度 近腔室主 與支撑組 寬度亦可 ,寬的RF 壁 106、 t量。 1 6 0兩者 缝闕通道 粒污染物 腔室壁可 造成膜内 提供基材 命且因此 減少。使 步控制氣 悖離本發 圍係由下- 25 200834688 【圖式簡單說明】 為了詳細地了解本發明上述之特徵,本發明更明確的 描述(簡短地總結於上)可參照許多實施例(某些描述於附 圖中)。然而,由於本發明容許其他等效之實施例,可以理 解附圖僅描述本發明的典型實施例且因此不應視為本發明 範圍的限制。The potential of the support member 138 can be reduced (B 俨 1fn bit (β potential) so that the potential of the body 102 (D potential), } thus reducing the electrical build-up between the chamber body 102. Wide RF ground lug The increased reduction reduces damage and thus increases the life of the wide RF ground strip. Further, the grounding strip 1 8 4 approaches the chamber side roll; the n & girth sidewall 106 helps to reduce the chamber side support assembly 13...F grounding strip Inductive Electricity (4) The extension rod 170 reduces the airflow on the sidewalls of the chambers 1 and 6 and the channels of the slits. The reduced airflow reduces the porous film deposited on both the sidewalls 1 and 6 of the chambers. Accordingly, methods and apparatus for reducing intra-membrane microfacies in a PECVD chamber have been proposed. The use of a wide RF ground strip and its proximity advantageously reduces the plasma generated in the undesired portion of the chamber, thereby reducing particulate contamination. The RF grounding strip further increases the grounding capability of the support member, and also increases the life of the grounding strip, causing the system to provide further advantages due to the substrate extension rod 170 for chamber cleaning and replacement of the grounding strip, which can be advanced and electrically Pulp is produced. The above is an embodiment of the present invention, but other and further embodiments may be devised without departing from the scope of the invention, and the scope of the application is determined by the scope of the application. The main body 102 is also widened by the width of the main chamber and the support group. The width of the RF wall 106, the amount of t. 1 60, both of the slit channel particle contaminant chamber wall can cause the substrate to provide the life of the substrate and thus reduce. The step control gas is separated from the hairline by the lower - 25 200834688 BRIEF DESCRIPTION OF THE DRAWINGS In order to understand the above-described features of the present invention in detail, a more descriptive description of the present invention, which is briefly described above, may refer to many embodiments (some of which are described in the drawings). However, Other equivalent embodiments are to be understood as merely illustrative of the exemplary embodiments of the invention and are not intended to

第1A圖係具有本發明之寬的RF接地片與基材延伸桿 之電漿輔助化學氣相沉積系統之一實施例的剖視圖; 第1B圖係具有本發明之寬的RF接地片之電漿輔助化 學氣相沉積系統之一實施例的剖視圖; 第1 C圖係具有本發明之基材延伸桿的電漿輔助化學 氣相沉積系統之一實施例的剖視圖; 第2圖係基材支撐組件之一實施例的頂視圖; 第3圖係具有根據本發明之寬的RF接地片之電漿輔 助化學氣相沉積系統之一實施例的剖視圖; 第4圖係第3圖所示之寬的RF接地片之側面剖視圖; 第5圖係根據本發明之寬的RF接地片之一實施例的 平面圖; 第6A圖係根據本發明的連接組件之一實施例的側視 因 · 圖, 26 200834688 第6B圖係根據本發明之第6A圖的連接組件之頂視 圖, 第6C圖係根據本發明之第6A圖的連接组件之前視 回 · 圖, 第7圖係具有根據本發明之基材延伸桿的電漿輔助化 學氣 相沉積系 統之 — 實施例 的剖視 圖 第8圖係 具有 根 據本發 明之基 材 延 伸 桿 學氣 相沉積系 統之 一 實施例 的剖視 圖 9 第9圖顯 示一 流 程圖, 其根據 本 發 明 之 處理 基材之步 驟; 以 及 第1 0圖顯示- 一 t程圖, 其根據 本 發 明 之 處理 基材之步 為了加速 理解 可使用 相同的 元 件 符 號 的相 同元件。 可以 理 解可有 利地將 一 實 施 例 併入 其他實施 例中 而 不需進 一步列 舉 〇 【主 要元件符 號說 明 ] 100 系統 102 腔 室 主 體 104 氣體源 106 側 壁 108 底部 110 蓋 組 件 112 處理容積 114 泵 送 〇 27 2008346881A is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system having a wide RF grounding strip and substrate extension rod of the present invention; FIG. 1B is a plasma having a wide RF grounding strip of the present invention A cross-sectional view of one embodiment of an auxiliary chemical vapor deposition system; FIG. 1C is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system having a substrate extension rod of the present invention; FIG. 2 is a substrate support assembly A top view of one embodiment; Figure 3 is a cross-sectional view of one embodiment of a plasma assisted chemical vapor deposition system having a wide RF ground plane in accordance with the present invention; Figure 4 is a wide view of Figure 3 A side cross-sectional view of an RF ground strip; Figure 5 is a plan view of one embodiment of a wide RF ground strip in accordance with the present invention; Figure 6A is a side view of an embodiment of a connection assembly in accordance with the present invention, 26 200834688 6B is a top view of the connection assembly according to FIG. 6A of the present invention, and FIG. 6C is a front view of the connection assembly according to FIG. 6A of the present invention, and FIG. 7 has a substrate extension according to the present invention. Rod-assisted chemistry Vapor Deposition System - Cross-Sectional View of an Embodiment FIG. 8 is a cross-sectional view of an embodiment of a substrate extension vapor deposition system according to the present invention. FIG. 9 is a flow chart showing a process according to the present invention. Steps of the material; and Figure 10 shows a step-by-step diagram of the steps of processing the substrate in accordance with the present invention in order to speed up the understanding of the same elements that may use the same component symbols. It will be appreciated that an embodiment may be advantageously incorporated into other embodiments without further elaboration [Major component notation] 100 System 102 Chamber body 104 Gas source 106 Side wall 108 Bottom 110 Cap assembly 112 Processing volume 114 Pumping 〇 27 200834688

118 分配板 120 内側 122 電源 124 支撐主體 126 下側 128 絕緣體 132 加熱元件 134 上側 136 舉升系統 138 支撐組件 140 基材 142 軸 144 桿蓋 146 風箱 150 舉升銷 160 狹缝閥 170 延伸桿 182 平臺 184 接地片 202 凹口 302 第一端 304 第二端 306 曲折 308 第一彎曲 310 第二彎曲部分 312 狹縫 314 、3 1 6 安裝凸緣 318 連接組件 320 頂片 3 22 底片 324 底部夾钳 400 箭頭 602 、604 固定孔 702 停止桿 706 固定件 708 失钳 8 02 延伸桿 900 、1000 流 902 ' 904 、 906 ' 1002 、 1004 、 1006 、 1008 、 部分 程圖 1010 步驟 28118 Distribution plate 120 Inside 122 Power supply 124 Support body 126 Lower side 128 Insulator 132 Heating element 134 Upper side 136 Lifting system 138 Support assembly 140 Substrate 142 Shaft 144 Rod cover 146 Bellows 150 Lifting pin 160 Slit valve 170 Extension rod 182 Platform 184 Ground lug 202 Notch 302 First end 304 Second end 306 Zigzag 308 First bend 310 Second bend portion 312 Slot 314, 3 1 6 Mounting flange 318 Connection assembly 320 Topsheet 3 22 Backsheet 324 Bottom clamp 400 arrow 602, 604 fixing hole 702 stop rod 706 fixing member 708 lost caliper 8 02 extension rod 900, 1000 flow 902 '904, 906 '1002, 1004, 1006, 1008, partial map 1010 step 28

Claims (1)

200834688 十、申請專利範圍: 1· 一種基材處理室,其至少包含: 一腔室主體,其包括: 一腔室底部;及 一側壁,其具有一狹缝闊; 一基材支撐件,其包括一支撐主體,其中該基 係置於該腔室主體中; 至少一寬的RF接地片,其包括與該支撐主體 第一端以及與該腔室底部耦接之一第二端;及 至少一延伸桿,其係沿著該支撐主體之一周邊 2.如申請專利範圍第1項所述之基材處理室,其 片的至少一部分與該側壁之間的一距離係小於該 與該側壁之間的一距離。 3 ·如申請專利範圍第1項所述之基材處理室,其 —延伸桿係鄰近該側壁而配置。 4·如申請專利範圍第1項所述之基材處理室,其 桿自該支撐主體之一下側向下延伸並與之垂直。 5·如申請專利範圍第1項所述之基材處理室,其 支撐件係耦接至一舉升機構,該舉升機構適以允 材支撐件 輕接之一 而配置。 中該接地 支撐主體 中該至少 中該延伸 中該基材 許該基材 29 200834688 支撐件之垂直移動。 6 ·如申請專利範圍第1項所述之基材處理室,其中該腔室 底部具有一泵送口,該泵送口將該基材處理室之一處理容 積麵接至一泵浦系統。 7. —種基材處理室,其至少包含:200834688 X. Patent Application Range: 1. A substrate processing chamber comprising at least: a chamber body comprising: a chamber bottom; and a side wall having a slit width; a substrate support member Included in the support body, wherein the substrate is disposed in the chamber body; at least one wide RF ground strip including a second end coupled to the first end of the support body and the bottom of the chamber; and at least An extension rod, which is disposed along a periphery of the support body. 2. The substrate processing chamber of claim 1, wherein a distance between at least a portion of the sheet and the sidewall is less than the sidewall A distance between. 3. The substrate processing chamber of claim 1, wherein the extension rod is disposed adjacent to the side wall. 4. The substrate processing chamber of claim 1, wherein the rod extends downward from a lower side of the support body and is perpendicular thereto. 5. The substrate processing chamber of claim 1, wherein the support member is coupled to a lift mechanism, and the lift mechanism is configured to be one of the light support members. In the grounding support body, the substrate is at least in the extension of the substrate. The substrate 29 200834688 The vertical movement of the support. 6. The substrate processing chamber of claim 1, wherein the bottom of the chamber has a pumping port that connects the processing volume of one of the substrate processing chambers to a pumping system. 7. A substrate processing chamber comprising at least: 一腔室主體,其包括: 一腔室底部;及 一側壁; 一基材支撐件,其包括一支撐主體,其中該基材支撐件 係置於該腔室主體中;及 至少一寬的RF接地片,其包括與該基材支撐件耦接之 一第一端以及與該腔室底部耦接之一第二端。 8.如申請專利範圍第7項所述之基材處理室,其中該側壁 具有一狹缝闊且該接地片的至少一部分與該側壁之間的一 距離係小於該支撐主體與該側壁之間的一距離。 9. 如申請專利範圍第7項所述之基材處理室,其中該寬的 RF接地片之寬度係介於約1 cm至約10 cm之間。 10. 如申請專利範圍第9項所述之基材處理室,其中該寬的 30 200834688 RF接地片之寬度係約4 7 cm。 11 ·如申請專利範圍第7項所述之基材處理室,其中該寬的 RF接地片更包括: 至少一曲折’位於該第一端與該第二端之間; 一第一彎曲部分,自該至少一曲折延伸向該第一端; 及 一第一彎曲部分,至該至少一曲折延伸向該第二端。 12·如申請專利範圍第11項所述之基材處理室,其中該接 地片之第一端包括耦接於一連接組件之一第一安裝凸緣, 該連接組件係耦接於該基材支撐件。 13·如申請專利範圍第12項所述之基材處理室,其中該連 接组件自該基材支撐件向外延伸並大致上平行於該接地片 中之至少一曲折。 14· 一種基材處理室,其至少包含·· 一腔室主體,其包括一側壁; 一基材支#件,位於該腔室主體中;及 至少一延伸桿,其係沿著該基材支撐件之一周邊而配 置。 31 200834688 1 5.如申請專利範圍第1 4項所述之基材處理室,其中該側 壁具有一狹缝閥且該至少一延伸桿係鄰近該側壁而配置。 16.如申請專利範圍第14項所述之基材處理室,其更包括 附著於該腔室主體之一側壁的一停止桿,其中該至少一延 伸桿具有適以配對該停止桿之至少一凹口。a chamber body comprising: a chamber bottom; and a side wall; a substrate support comprising a support body, wherein the substrate support is disposed in the chamber body; and at least one wide RF A ground strip includes a first end coupled to the substrate support and a second end coupled to the bottom of the chamber. 8. The substrate processing chamber of claim 7, wherein the sidewall has a slit width and a distance between at least a portion of the ground strip and the sidewall is less than between the support body and the sidewall a distance. 9. The substrate processing chamber of claim 7, wherein the wide RF ground strip has a width of between about 1 cm and about 10 cm. 10. The substrate processing chamber of claim 9, wherein the width of the wide 30 200834688 RF ground plane is about 47 cm. The substrate processing chamber of claim 7, wherein the wide RF grounding strip further comprises: at least one meandering 'between the first end and the second end; a first curved portion, Extending from the at least one meander to the first end; and a first curved portion to the at least one meander extending toward the second end. The substrate processing chamber of claim 11, wherein the first end of the grounding piece is coupled to a first mounting flange of a connecting component, the connecting component is coupled to the substrate supporting item. The substrate processing chamber of claim 12, wherein the connection assembly extends outwardly from the substrate support and is substantially parallel to at least one of the ground sheets. A substrate processing chamber comprising at least one chamber body including a side wall; a substrate support member located in the chamber body; and at least one extension rod along the substrate One of the supports is arranged around the periphery. The substrate processing chamber of claim 14, wherein the side wall has a slit valve and the at least one extension rod is disposed adjacent to the side wall. 16. The substrate processing chamber of claim 14, further comprising a stop rod attached to a side wall of the chamber body, wherein the at least one extension rod has at least one suitable for pairing the stop rod Notch. 17.如申請專利範圍第14項所述之基材處理室,其中該延 伸桿自該基材支撐件之一下側向下延伸並與之垂直。 1 8 ·如申請專利範圍第1 4項所述之基材處理室,其中該至 少一延伸桿包括鋁。 19.如申請專利範圍第14項所述之基材處理室,其中該基 材處理室係一電漿輔助式化學氣相沉積室。17. The substrate processing chamber of claim 14, wherein the extension rod extends downwardly from a lower side of the substrate support and is perpendicular thereto. The substrate processing chamber of claim 14, wherein the at least one extension rod comprises aluminum. 19. The substrate processing chamber of claim 14, wherein the substrate processing chamber is a plasma assisted chemical vapor deposition chamber. 20. —種處理一基材之方法,其至少包括·· 提供具有一狹縫閥與一基材支撐件之一處理室; 提供RF功率給置於該基材支撐件上方之一分配板; 流動一氣體通過該分配板; 電漿處理置於該基材支撐件上之一基材;及 減少鄰近該狹缝闊處之電漿生成。 32 200834688 21. 如申請專利範圍第20項所述之方法,其中該減少鄰近 該狹缝闊處之電漿生成的步驟包括在該基材支撐件與該處 理室之間提供一低阻抗路徑。 22. 如申請專利範圍第2 1項所述之方法,其中該低阻抗路 徑包括一寬的RF接地片,其耦接於一基材支撐件與該處 理室之一腔室底部之間。20. A method of processing a substrate, comprising at least: providing a processing chamber having a slit valve and a substrate support; providing RF power to a distribution plate disposed above the substrate support; Flowing a gas through the distribution plate; plasma treating a substrate placed on the substrate support; and reducing plasma formation adjacent to the slit. The method of claim 20, wherein the step of reducing plasma formation adjacent the slit width comprises providing a low impedance path between the substrate support and the processing chamber. 22. The method of claim 2, wherein the low impedance path comprises a wide RF ground strip coupled between a substrate support and a bottom of a chamber of the processing chamber. 23. 如申請專利範圍第22項所述之方法,其中該寬的RF 接地片之至少一部分與該狹缝閥之間的一距離係小於該基 材支撐件與該狹缝閥之間的一距離。 24. 如申請專利範圍第20項所述之方法,其中該減少鄰近 該狹缝闕處之電漿生成的步驟包括減少該處理室與該基材 支撐件之間的氣體流動。23. The method of claim 22, wherein a distance between at least a portion of the wide RF ground strip and the slit valve is less than a distance between the substrate support and the slit valve distance. 24. The method of claim 20, wherein the step of reducing plasma formation adjacent the slit is to reduce gas flow between the processing chamber and the substrate support. 2 5.如申請專利範圍第24項所述之方法,其中減少該處理 室與該基材支撐件之間的氣體流動係藉由耦接一延伸桿至 該基材支撐件之一周邊而達成。 33The method of claim 24, wherein reducing the gas flow between the processing chamber and the substrate support is achieved by coupling an extension rod to a periphery of the substrate support . 33
TW096148312A 2006-12-20 2007-12-17 Prevention of film deposition on pecvd process chamber wall TWI455192B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61392406A 2006-12-20 2006-12-20
US61393406A 2006-12-20 2006-12-20

Publications (2)

Publication Number Publication Date
TW200834688A true TW200834688A (en) 2008-08-16
TWI455192B TWI455192B (en) 2014-10-01

Family

ID=39563168

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096148312A TWI455192B (en) 2006-12-20 2007-12-17 Prevention of film deposition on pecvd process chamber wall

Country Status (2)

Country Link
TW (1) TWI455192B (en)
WO (1) WO2008079742A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104513972A (en) * 2014-12-31 2015-04-15 深圳市华星光电技术有限公司 Chemical vapor deposition equipment
TWI724773B (en) * 2019-03-07 2021-04-11 美商應用材料股份有限公司 Substrate processing chamber
TWI827326B (en) * 2021-10-29 2023-12-21 大陸商北京北方華創微電子裝備有限公司 Semiconductor process equipment and monitoring methods for wafer position status

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110656321B (en) * 2019-09-16 2021-08-03 武汉华星光电半导体显示技术有限公司 Grounding structure and chemical vapor deposition equipment with same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7393432B2 (en) * 2004-09-29 2008-07-01 Lam Research Corporation RF ground switch for plasma processing system

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104513972A (en) * 2014-12-31 2015-04-15 深圳市华星光电技术有限公司 Chemical vapor deposition equipment
TWI724773B (en) * 2019-03-07 2021-04-11 美商應用材料股份有限公司 Substrate processing chamber
TWI827326B (en) * 2021-10-29 2023-12-21 大陸商北京北方華創微電子裝備有限公司 Semiconductor process equipment and monitoring methods for wafer position status

Also Published As

Publication number Publication date
TWI455192B (en) 2014-10-01
WO2008079742A2 (en) 2008-07-03
WO2008079742A3 (en) 2008-10-30

Similar Documents

Publication Publication Date Title
US8381677B2 (en) Prevention of film deposition on PECVD process chamber wall
TWI449121B (en) Substrate support regulating temperature of substrate and uses thereof
US9263298B2 (en) Plasma etching apparatus and plasma etching method
JP5484650B2 (en) Active cooling of substrate support
US8435902B2 (en) Invertable pattern loading with dry etch
TWI391034B (en) Contamination reducing liner for inductively coupled chamber
US20030205202A1 (en) Plasma cvd device
TW201438062A (en) An amorphous carbon deposition process using dual RF bias frequency applications
WO2009140153A2 (en) Apparatus for etching semiconductor wafers
KR20120063484A (en) Plasma processing apparatus and gas supply mechanism for plasma processing apparatus
KR20090066222A (en) Shower plate electrode for plasma cvd reactor
JP6861710B2 (en) Achieving uniform wafer temperature in asymmetric chamber environment
TW201615537A (en) Methods for forming a metal silicide interconnection nanowire structure
KR101959183B1 (en) Method of depositing dielectric films using microwave plasma
TWI797339B (en) Apparatus for suppressing parasitic plasma in plasma enhanced chemical vapor deposition chamber
JP7446335B2 (en) Ground strap assembly
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
TW202215567A (en) Method of processing substrate, substrate boat and thermal processing system
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers
US7581550B2 (en) Method of cleaning reaction chamber using substrate having catalyst layer thereon
CN110622282B (en) Deposition of metal silicide layers on substrates and chamber components
KR20210097044A (en) Etching method, substrate processing apparatus, and substrate processing system
JP2005179744A (en) Catalyst cvd apparatus and catalyst cvd method
JP4890313B2 (en) Plasma CVD equipment
JP2011077442A (en) Plasma processing method and plasma processing apparatus