TWI455192B - Prevention of film deposition on pecvd process chamber wall - Google Patents

Prevention of film deposition on pecvd process chamber wall Download PDF

Info

Publication number
TWI455192B
TWI455192B TW096148312A TW96148312A TWI455192B TW I455192 B TWI455192 B TW I455192B TW 096148312 A TW096148312 A TW 096148312A TW 96148312 A TW96148312 A TW 96148312A TW I455192 B TWI455192 B TW I455192B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
processing chamber
substrate processing
wide
Prior art date
Application number
TW096148312A
Other languages
Chinese (zh)
Other versions
TW200834688A (en
Inventor
Beom Soo Park
Robin L Tiner
Soo Young Choi
John M White
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200834688A publication Critical patent/TW200834688A/en
Application granted granted Critical
Publication of TWI455192B publication Critical patent/TWI455192B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Description

避免在PECVD製程腔壁上沉積薄膜的設備及方法Apparatus and method for avoiding deposition of a film on a PECVD process chamber wall

本發明之實施例大致係關於電漿處理一基材之方法與設備,更明確地說,係關於具有寬的RF接地片(ground strap)與/或基材延伸桿之電漿處理室與其應用方法。Embodiments of the present invention generally relate to a method and apparatus for plasma treating a substrate, and more particularly to a plasma processing chamber having a wide RF strap and/or substrate extension rod and its application method.

PECVD通常係用來在基材或半導體晶圓上沉積一薄膜。通常藉由將一或多種前驅物氣體導入真空室而完成PECVD。一般係透過位於腔室頂部附近的分配板(通常係由鋁所製成)引導前驅物氣體。在真空室中形成電漿。前驅物氣體與電漿進行反應以在位於基材支撐件上之基材的表面上沉積一材料薄層。反應期間所產生之沉積副產物通常沉積於狹縫閥(slit valve)通道與真空室的腔室壁上。由於氣流與電漿密度的不一致使得腔室壁與狹縫閥通道上之沉積膜係相對多孔的。此多孔性膜累積在腔室壁上並可能變成腔室中的污染物來源,其會在延長之沉積週期中產生成片剝落與微粒。PECVD is commonly used to deposit a thin film on a substrate or semiconductor wafer. PECVD is typically accomplished by introducing one or more precursor gases into a vacuum chamber. The precursor gas is typically directed through a distribution plate (generally made of aluminum) located near the top of the chamber. A plasma is formed in the vacuum chamber. The precursor gas reacts with the plasma to deposit a thin layer of material on the surface of the substrate on the substrate support. The deposition by-products produced during the reaction are typically deposited on the slit valve channels and the chamber walls of the vacuum chamber. Due to the inconsistency between the gas flow and the plasma density, the deposition membrane on the chamber wall and the slit valve passage is relatively porous. This porous membrane accumulates on the walls of the chamber and can become a source of contaminants in the chamber which can cause flaking and particulates during extended deposition cycles.

因此,需要一種改良之電漿處理室與其應用方法。Therefore, there is a need for an improved plasma processing chamber and method of application thereof.

本發明之實施例大致係關於電漿處理一基材之方法與設備,更明確地說,係關於具有寬的RF接地片與/或基材延伸桿之電漿處理室與其應用方法。Embodiments of the present invention generally relate to methods and apparatus for plasma treating a substrate, and more particularly to plasma processing chambers having wide RF ground sheets and/or substrate extension rods and methods of use thereof.

本發明之實施例大致提供包含一腔室主體的基材處理室。該腔室主體包括一腔室底部以及具有狹縫閥之側壁。包括一支撐主體的基材支撐件係配置於腔室主體中。至少一寬的RF接地片之第一端係耦接於支撐主體而至少一RF接地片之第二端係耦接於腔室底部。至少一延伸桿係沿著支撐主體之周邊而配置。Embodiments of the present invention generally provide a substrate processing chamber that includes a chamber body. The chamber body includes a chamber bottom and a side wall having a slit valve. A substrate support including a support body is disposed in the chamber body. The first end of the at least one wide RF grounding strip is coupled to the support body and the second end of the at least one RF grounding strip is coupled to the bottom of the chamber. At least one extension rod is disposed along the periphery of the support body.

另一實施例中提出包含一腔室主體的基材處理室。該腔室主體包括一腔室底部以及側壁。提出配置於腔室主體中且包括一支撐主體的基材支撐件。至少一寬的RF接地片之第一端係耦接於支撐主體而至少一RF接地片之第二端係耦接於腔室底部。Another embodiment presents a substrate processing chamber comprising a chamber body. The chamber body includes a chamber bottom and side walls. A substrate support disposed in the chamber body and including a support body is proposed. The first end of the at least one wide RF grounding strip is coupled to the support body and the second end of the at least one RF grounding strip is coupled to the bottom of the chamber.

又另一實施例中提出包含一具有側壁之腔室主體的基材處理室。基材支撐件係位於腔室主體中。至少一延伸桿係沿著支撐主體之周邊而配置。一實施例中,側壁具有狹縫閥且該至少一延伸桿係鄰近該側壁而配置。In yet another embodiment, a substrate processing chamber comprising a chamber body having a sidewall is provided. The substrate support is located in the chamber body. At least one extension rod is disposed along the periphery of the support body. In one embodiment, the sidewall has a slit valve and the at least one extension rod is disposed adjacent the sidewall.

又另一實施例中提出處理一基材之方法。該方法包括提供具有狹縫閥與基材支撐件之處理室。提供RF功率給置於基材支撐件上方之分配板。流動氣體經過該分配板。電漿處理置於基材支撐件上之基材。減少鄰近狹縫閥處之電漿生成。Yet another embodiment provides a method of treating a substrate. The method includes providing a processing chamber having a slit valve and a substrate support. RF power is supplied to the distribution plate placed above the substrate support. The flowing gas passes through the distribution plate. The plasma is treated with a substrate placed on a substrate support. Reduce plasma generation near the slit valve.

本發明大致係關於電漿處理基材之方法與設備,更明確地說,係關於具有寬的RF接地片與/或基材延伸桿之電漿處理室與其應用方法。SUMMARY OF THE INVENTION The present invention is generally directed to a method and apparatus for treating a substrate with a plasma, and more particularly to a plasma processing chamber having a wide RF ground lug and/or substrate extension rod and methods of use thereof.

此處所用之詞彙「基材」通常係指任何基材或形成於基材上之材料表面,可於其上執行膜層處理。The term "substrate" as used herein generally refers to any substrate or surface of a material formed on a substrate upon which a film treatment can be performed.

本發明通常係用於長方形基材。其他適當之基材可為圓形,例如晶圓。本發明可用於任何基材尺寸。然而,本發明提供特定優勢於尺寸15K(約15,600cm2 )、25K(約27,750cm2 )與以上,較佳為40K(約41,140cm2 )與以上(例如,50K、55K與60K),而這係因為較大的基座需要增強的接地性。The invention is generally applied to rectangular substrates. Other suitable substrates can be circular, such as wafers. The invention can be used on any substrate size. However, the present invention provides particular advantages to sizes 15K (about 15,600 cm 2 ), 25 K (about 27,750 cm 2 ) and above, preferably 40 K (about 41,140 cm 2 ) and above (eg, 50K, 55K, and 60K). This is because the larger pedestal requires enhanced grounding.

液晶顯示器或平板顯示器通常係用於主動矩陣顯示器,諸如電腦與電視螢幕。一般而言,平板顯示器包括具有一層液晶材質夾於其中的兩板件。至少一板件包括配置於其上之至少一傳導膜(耦接至電源)。由電源供應給傳導膜的功率可改變液晶材料之方向而產生圖案化之顯示。Liquid crystal displays or flat panel displays are commonly used in active matrix displays such as computers and television screens. In general, a flat panel display includes two panels having a layer of liquid crystal material sandwiched therein. At least one of the plates includes at least one conductive film (coupled to a power source) disposed thereon. The power supplied to the conductive film by the power source can change the direction of the liquid crystal material to produce a patterned display.

為了製造這些顯示器,諸如玻璃或聚合物工件之基材通常受到許多連續處理以在基材上產生元件、導體與絕緣體。各個這些處理通常執行於適以執行一或更多處理步驟的處理室中。為了有效地完成處理步驟的整個序列,通常有許多處理室耦接至中央傳送室,該中央傳送室內藏一自動控制裝置以促進處理室之間的基材傳送。具有此配置的處理平台通常稱為叢集工具(cluster tool),其之實例為可自AKT America, Inc.(Santa Clara, California)取得之AKT電漿輔助式化學氣相沉積(PECVD)處理平台家族。To make these displays, substrates such as glass or polymer workpieces are typically subjected to a number of continuous processes to create components, conductors and insulators on the substrate. Each of these processes is typically performed in a processing chamber suitable for performing one or more processing steps. In order to efficiently complete the entire sequence of processing steps, there are typically a plurality of processing chambers coupled to a central transfer chamber that houses an automatic control device to facilitate substrate transfer between the processing chambers. A processing platform having this configuration is commonly referred to as a cluster tool, an example of which is an AKT plasma-assisted chemical vapor deposition (PECVD) processing platform family available from AKT America, Inc. (Santa Clara, California). .

雖然在大面積基材處理系統中示範性地描述、顯示與實施本發明,但本發明可用於其他電漿處理系統,包括那些來自其他製造商之系統,其中預期可確保一或更多接地路徑保持功能在促進系統中可接受之處理的程度。可實施本發明的其他示範性處理系統包括CENTURA ULTIMA HDP-CVDTM 系統、PRODUCER APF PECVDTM 系統、PRODUCER BLACK DIAMONDTM 系統、PRODUCER BLOK PECVDTM 系統、PRODUCER DARC PECVDTM 系統、PRODUCER HARPTM 系統、PRODUCER PECVDTM 系統、PRODUCER STRESS NITRIDE PECVDTM 系統以及PRODUCER TEOS FSG PECVDTM 系統,所有上述均可自Applied Materials, Inc.(Santa Clara, CA)取得。Although the invention is exemplarily described, shown and embodied in a large area substrate processing system, the invention is applicable to other plasma processing systems, including those from other manufacturers, where one or more ground paths are contemplated to be secured. The extent to which the functionality is maintained to facilitate acceptable processing in the system. Other exemplary processing system embodiment of the present invention include CENTURA ULTIMA HDP-CVD TM systems, PRODUCER APF PECVD TM systems, PRODUCER BLACK DIAMOND TM systems, PRODUCER BLOK PECVD TM systems, PRODUCER DARC PECVD TM systems, PRODUCER HARP TM systems, PRODUCER PECVD TM system, PRODUCER STRESS NITRIDE PECVD TM systems and PRODUCER TEOS FSG PECVD TM system, all of the above are available from Applied Materials, Inc. (Santa Clara, CA) achieved.

電漿輔助式化學氣相沉積(PECVD)技術通常可藉由對鄰近基材表面之反應區應用電場而促進反應氣體的激發與/或解離,而緊接基材表面上方產生反應物種之電漿。電漿中物種之活性可減少進行化學反應所需之能量,實際上可降低上述PECVD處理所需之溫度。Plasma-assisted chemical vapor deposition (PECVD) techniques generally promote the excitation and/or dissociation of reactive gases by applying an electric field to the reaction zone adjacent the surface of the substrate, while generating a plasma of the reactive species immediately above the surface of the substrate. . The activity of the species in the plasma reduces the amount of energy required to carry out the chemical reaction and actually reduces the temperature required for the above PECVD process.

第1A圖係系統100之側視圖,該系統適合化學氣相沉積(CVD)或電漿輔助式化學氣相沉積(PECVD)處理以用於在大面積之玻璃、聚合物或其他適當基材上製造平板顯示器的電路。系統100適以在大面積基材上形成結構與元件好用於製造液晶顯示器(LCD's)、平板顯示器、有機發光二極體(OLED's)或用於太陽能電池陣列的光電電池 (photovoltaic cell)。該結構可能為複數個反向通道蝕刻逆堆疊(底部閘極)薄膜電晶體,其可能包括複數個連續沉積與遮罩步驟。其他結構可包括p-n接面以形成用於光電電池的二極體。Figure 1A is a side view of a system 100 suitable for chemical vapor deposition (CVD) or plasma assisted chemical vapor deposition (PECVD) processing on large areas of glass, polymers or other suitable substrates. A circuit for manufacturing a flat panel display. System 100 is suitable for forming structures and components on large-area substrates for use in the manufacture of liquid crystal displays (LCD's), flat panel displays, organic light-emitting diodes (OLED's) or photovoltaic cells for solar cell arrays. (photovoltaic cell). The structure may be a plurality of reverse channel etch reverse stack (bottom gate) thin film transistors, which may include a plurality of successive deposition and masking steps. Other structures may include p-n junctions to form diodes for photovoltaic cells.

系統100適以在大面積基材上沉積許多材料,包括(但不限於)介電材料(例如,SiO2 、SiOxNy 、上述之衍生物或上述之組合物)、半導體材料(例如,Si與其之摻雜物)、阻障材料(例如,SiNx 、SiOx Ny 或上述之衍生物)。由系統100在大面積基材上所形成或沉積之介電材料與半導體材料的特定實例包括磊晶矽、多晶矽、非晶矽、微晶矽、矽鍺(silicon germanium)、鍺、二氧化矽、氮氧化矽、氮化矽、上述之摻雜物(例如,B、P或As)、上述之衍生物或上述之組合物。系統100亦適以接收清潔氣體(諸如,氬、氫、氮、氦或上述之組合物的氣體)或載氣(例如,Ar、H2 、N2 、He、上述之衍生物或上述之組合物)。利用系統100在大面積基材上沉積矽薄膜的一實例可藉由在氫氣載氣中利用矽烷作為前驅物氣體而加以完成。System 100 is adapted to deposit a plurality of materials on a large area substrate including, but not limited to, dielectric materials (eg, SiO 2 , SiO x N y , derivatives thereof, or combinations thereof), semiconductor materials (eg, Si and a dopant), a barrier material (for example, SiN x , SiO x N y or a derivative thereof). Specific examples of dielectric materials and semiconductor materials formed or deposited by the system 100 on a large area substrate include epitaxial germanium, polycrystalline germanium, amorphous germanium, microcrystalline germanium, germanium germanium, germanium, germanium dioxide. And cerium oxynitride, cerium nitride, a dopant (for example, B, P or As), a derivative thereof or a combination thereof. System 100 is also adapted to receive a cleaning gas (such as argon, hydrogen, nitrogen, helium or a combination of the foregoing) or a carrier gas (eg, Ar, H 2 , N 2 , He, derivatives thereof, or combinations thereof) ()). An example of depositing a tantalum film on a large area substrate using system 100 can be accomplished by utilizing decane as a precursor gas in a hydrogen carrier gas.

利用系統100在大面積基材上沉積薄膜之不同設備與方法的實例可見於2005年11月17日申請之美國專利申請號11/021,416、公開號U.S. 2005-0255257名稱為"Method Of Controlling The Film Properties Of PECVD-Deposited Thin Films"與2005年7月1日申請之美國專利申請號11/173,210、公開號U.S. 2006-0228496名稱為"Plasma Uniformity Control By Gas Diffuser Curvature",將兩篇申請書與本說明書不一致的範圍以參考資料方式併入本文中。可利用系統100形成之不同元件的其他實例可見於2004年7月12日申請之美國專利申請號10/889,683、公開號U.S. 2005-0251990名稱為"Plasma Uniformity Control by Gas Diffuser Hole Design",以及2006年10月24日申請之美國專利號7,125,758名稱為"Controlling the Properties and Uniformity of a Silicon Nitride Film by Controlling the Film Forming Precursors",將兩篇申請書與本說明書不一致的範圍以參考資料方式併入本文中。An example of a different apparatus and method for depositing a film on a large-area substrate using the system 100 can be found in U.S. Patent Application Serial No. 11/021,416, filed on Nov. 17, 2005. "Property of PECVD-Deposited Thin Films" is entitled "Plasma" in US Patent Application No. 11/173,210, issued on Jul. 1, 2005, and the publication No. US 2006-0228496 Uniformity Control By Gas Diffuser Curvature", the scope of the inconsistency between the two applications and the present specification is incorporated herein by reference. Other examples of different components that may be utilized by system 100 can be found in the United States filed on July 12, 2004. Patent Application No. 10/889,683, Publication No. 2005-0251990 entitled "Plasma Uniformity Control by Gas Diffuser Hole Design", and U.S. Patent No. 7,125,758, filed on Oct. 24, 2006, entitled "Controlling the Properties and Uniformity of a Silicon" Nitride Film by Controlling the Film Forming Precursors, the scope of the inconsistency between the two applications is incorporated herein by reference.

第1A圖描述電漿輔助式化學氣相沉積系統100之一實施例,其具有本發明之延伸桿170與寬的RF接地片184之一實施例。延伸桿170與寬的RF接地片184兩者可促進在腔室主體102上沉積密集膜。寬的RF接地片184亦促成耦接基材支撐組件138與腔室主體102間之接地路徑的效力。可以理解延伸桿170與寬的RF接地片184之實施例可單獨應用(如第1B圖與第1C圖中所示)或聯合應用(如第1A圖中所示)。更可理解延伸桿170之實施例、寬的RF接地片184之實施例與此處描述之其應用方法以及上述之衍生物可用於其他處理系統,包括那些來自其他製造商之系統。1A depicts an embodiment of a plasma-assisted chemical vapor deposition system 100 having an embodiment of an extension rod 170 and a wide RF ground piece 184 of the present invention. Both the extension rod 170 and the wide RF ground lug 184 can facilitate deposition of a dense film on the chamber body 102. The wide RF ground lug 184 also contributes to the effectiveness of coupling the ground path between the substrate support assembly 138 and the chamber body 102. It will be appreciated that the embodiment of the extension rod 170 and the wide RF ground lug 184 can be applied separately (as shown in Figures 1B and 1C) or in combination (as shown in Figure 1A). It will be further appreciated that embodiments of the extension rod 170, embodiments of the wide RF ground lug 184 and the methods of application described herein, as well as the derivatives described above, can be used in other processing systems, including those from other manufacturers.

第1A圖描述之實施例中,接地之腔室主體102具有氣體源104、電源122與控制器(未顯示)與其耦接。控制 器係用來控制系統100中執行之處理。一實施例中,控制器包括中央處理器(CPU)(未顯示)、支援電路(未顯示)與記憶體(未顯示)。CPU為可用於工業設定(控制不同腔室與子處理器)之任何形式的電腦處理器之一者。記憶體耦接至CPU。記憶體或電腦可讀式媒介可為一或多種可輕易取得的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟牒、硬碟或任何其他形式的數位儲存器(本地或遠端)。支援電路耦接至CPU好以傳統方式支援處理器。這些電路包括快取(cache)、電源、時脈電路(clock circuit)、輸入/輸出電路、子系統等等。In the embodiment depicted in FIG. 1A, the grounded chamber body 102 has a gas source 104, a power source 122 coupled thereto and a controller (not shown). control The device is used to control the processing performed in system 100. In one embodiment, the controller includes a central processing unit (CPU) (not shown), support circuitry (not shown), and memory (not shown). The CPU is one of any form of computer processor that can be used for industrial settings (controlling different chambers and sub-processors). The memory is coupled to the CPU. A memory or computer readable medium can be one or more readily available memories, such as random access memory (RAM), read only memory (ROM), soft disk, hard disk, or any other form of digital storage. (local or remote). The support circuit is coupled to the CPU to support the processor in a conventional manner. These circuits include caches, power supplies, clock circuits, input/output circuits, subsystems, and the like.

腔室主體102具有界定處理容積112之側壁106、底部108與蓋組件110。一般可通過側壁106中之狹縫閥160進出處理容積112,該狹縫閥160可促進大面積基材140(之後稱為「基材140」)進入與離開腔室主體102。大面積基材140可為玻璃或聚合物工件,而在一實施例中,其具有大於約2,500cm2 的平坦表面積。雖然本發明可用於任何基材尺寸,但是本發明之寬的RF接地片184特別有利於尺寸15,000cm2 與之上,較佳為40,000cm2 與之上,這係因為較大的基座需要增強的接地性。腔室主體102之側壁106與底部108通常係由單一鋁塊或其他與處理化學作用相容之材料所構成。腔室主體102之底部108具有穿越其而形成之泵送口114,其耦接處理容積112至泵浦系統(未顯示)以促進調控處理容積112中之壓力並在處理過程中排出氣體與副產物。The chamber body 102 has a sidewall 106, a bottom portion 108, and a lid assembly 110 that define a processing volume 112. The process volume 112 can generally be accessed through the slit valve 160 in the side wall 106, which facilitates entry and exit of the large area substrate 140 (hereinafter referred to as "substrate 140") into and out of the chamber body 102. The large area substrate 140 can be a glass or polymer workpiece, and in one embodiment it has a flat surface area greater than about 2,500 cm 2 . While the present invention is applicable to any substrate size, the wide RF ground lug 184 of the present invention is particularly advantageous for sizes 15,000 cm 2 and above, preferably 40,000 cm 2 and above, due to the need for larger pedestals. Enhanced grounding. The sidewalls 106 and the bottom portion 108 of the chamber body 102 are typically constructed of a single piece of aluminum or other material that is compatible with the processing chemistry. The bottom portion 108 of the chamber body 102 has a pumping port 114 formed therethrough that couples the processing volume 112 to a pumping system (not shown) to facilitate regulating the pressure in the process volume 112 and to vent gases and pairs during processing product.

蓋組件110係由側壁106所支撐且可移除以檢測腔室主體102內部。蓋組件110通常係由鋁所構成。分配板118係耦接至蓋組件110之內側120。分配板118通常係由鋁所構成。分配板118的中心部份包括穿孔區域,氣體源104供應之處理與其他氣體可穿過此處傳送至處理容積112。分配板118的穿孔區域適以提供一致的氣體分配穿越分配板118進入腔室主體102。電源122係耦接至分配板118以提供電性偏壓,其可激發處理氣體並在處理過程中維持氣體分配板118下之內部處理容積112中之處理氣體所形成的電漿。The lid assembly 110 is supported by the sidewall 106 and is removable to detect the interior of the chamber body 102. The lid assembly 110 is typically constructed of aluminum. The distribution plate 118 is coupled to the inner side 120 of the lid assembly 110. The distribution plate 118 is typically constructed of aluminum. The central portion of the distribution plate 118 includes a perforated area through which the process of supplying the gas source 104 and other gases can be delivered to the processing volume 112. The perforated area of the distribution plate 118 is adapted to provide uniform gas distribution across the distribution plate 118 into the chamber body 102. The power source 122 is coupled to the distribution plate 118 to provide an electrical bias that excites the process gas and maintains the plasma formed by the process gas in the internal process volume 112 below the gas distribution plate 118 during processing.

將加熱之基材支撐組件138置於腔室主體102中心並在處理過程中支撐基材140。基材支撐組件138通常包括由軸142所支撐的導電支撐主體124,該軸142延伸穿過腔室底部108。支撐主體124之外形通常為多邊形並至少在主體124支撐基材140之部分上塗有電性絕緣塗層(未顯示)。該塗層亦可覆蓋主體124的其他部分。基材支撐組件138通常至少在處理過程中耦接至地面。The heated substrate support assembly 138 is placed in the center of the chamber body 102 and supports the substrate 140 during processing. The substrate support assembly 138 generally includes a conductive support body 124 supported by a shaft 142 that extends through the chamber bottom portion 108. The support body 124 is generally polygonal in shape and is coated with an electrically insulating coating (not shown) on at least a portion of the body 124 that supports the substrate 140. The coating can also cover other portions of the body 124. The substrate support assembly 138 is typically coupled to the ground at least during processing.

支撐主體124可由金屬或其他同等導電材質(例如,鋁)所構成。絕緣塗層可為一介電材質,諸如氧化物、氮化矽、二氧化矽、二氧化鋁、五氧化鉭、碳化矽或聚醯亞胺等等,而可藉由不同沉積或塗覆處理加以應用,包括(但不限於)火焰噴塗、電漿噴塗、高能塗覆(high energy coating)、化 學氣相沉積、噴塗、黏著膜(adhesive film)、濺鍍與封裝(encapsulating)。The support body 124 can be constructed of metal or other equally conductive material (eg, aluminum). The insulating coating may be a dielectric material such as oxide, tantalum nitride, hafnium oxide, aluminum oxide, tantalum pentoxide, tantalum carbide or polyimine, etc., and may be treated by different deposition or coating. Applied, including but not limited to flame spray, plasma spray, high energy coating, Vapor deposition, spray coating, adhesive film, sputtering and encapsulating.

一實施例中,支撐主體124封裝至少一嵌入式加熱元件132與熱電偶(未顯示)。主體124可包括一或更多由金屬、陶瓷或其他硬化材質所構成之硬化構件(未顯示)嵌入其中。In one embodiment, the support body 124 encloses at least one embedded heating element 132 and a thermocouple (not shown). The body 124 can include one or more hardened members (not shown) of metal, ceramic or other hardened material embedded therein.

加熱元件132(諸如,電極或電阻式元件)耦接至電源(未顯示)且可控制地加熱支撐組件138以及位於其上之基材140到預定之溫度。一般而言,加熱元件132在處理過程中將基材140維持在約150℃到至少約460℃之間的一致溫度。相對於主體124來說,加熱元件132為電性流動的(electrically floating)。A heating element 132, such as an electrode or a resistive element, is coupled to a power source (not shown) and controllably heats the support assembly 138 and the substrate 140 thereon to a predetermined temperature. In general, the heating element 132 maintains the substrate 140 at a consistent temperature between about 150 ° C and at least about 460 ° C during processing. The heating element 132 is electrically floating relative to the body 124.

一般而言,支撐組件138具有一下側126與支撐基材140於其上的上側134。下側126具有一桿蓋144與其耦接。桿蓋144通常係一耦接至支撐組件138之鋁環,其可提供安裝表面以讓軸142連結至此。In general, the support assembly 138 has a lower side 126 and an upper side 134 on which the support substrate 140 is mounted. The lower side 126 has a lever cover 144 coupled thereto. The lever cover 144 is typically an aluminum ring that is coupled to the support assembly 138 that provides a mounting surface for the shaft 142 to be coupled thereto.

一般而言,軸142自桿蓋144延伸穿過腔室底部108並將支撐組件138耦接至舉升系統136,其可在升高之處理位置(如圖所示)與降低位置之間移動支撐組件138以促進基材傳送。風箱146提供處理容積112與腔室主體102外空氣之間的真空密封同時促進支撐組件138的垂直移 動。軸142額外地提供導管給支撐組件138與系統100其他部件之間的電性與熱電偶導線。In general, the shaft 142 extends from the stem cover 144 through the chamber bottom 108 and couples the support assembly 138 to the lift system 136, which is movable between an elevated processing position (as shown) and a lowered position. Support assembly 138 facilitates substrate transfer. The bellows 146 provides a vacuum seal between the process volume 112 and the outside air of the chamber body 102 while facilitating vertical movement of the support assembly 138 move. The shaft 142 additionally provides a conduit to the electrical and thermocouple wires between the support assembly 138 and other components of the system 100.

軸142可與腔室主體102電性隔離。第1A圖所示之實施例中,介電絕緣體128係置於軸142與腔室主體102之間。絕緣體128可額外地支撐軸142或適以作為其之軸承。The shaft 142 can be electrically isolated from the chamber body 102. In the embodiment illustrated in FIG. 1A, a dielectric insulator 128 is disposed between the shaft 142 and the chamber body 102. The insulator 128 may additionally support the shaft 142 or a bearing suitable for it.

一實施例中,支撐組件138額外地支撐圍繞陰影框架(未顯示)。一般而言,陰影框架可避免在基材140之邊緣與支撐組件138處的沉積使得基材140不會黏著於支撐組件138。In one embodiment, the support assembly 138 additionally supports a shadow frame (not shown). In general, the shadow frame can avoid deposition at the edge of the substrate 140 and the support assembly 138 such that the substrate 140 does not adhere to the support assembly 138.

支撐組件138具有複數個配置其中之孔好接受複數個舉升銷150。舉升銷150通常係由陶瓷或電鍍鋁所構成,且當舉升銷150處於正常位置時(即,相對支撐組件138為縮回),其第一端大致與支撐組件138之上側134齊平或稍微內凹。當支撐組件138降低至傳送位置時,舉升銷150與腔室主體102之底部108接觸並穿過支撐組件138而移動以自支撐組件138之上側134伸出,藉此將基材140與支撐組件138分離。The support assembly 138 has a plurality of configurations in which the apertures receive a plurality of lift pins 150. The lift pin 150 is typically constructed of ceramic or electroplated aluminum, and when the lift pin 150 is in the normal position (ie, retracted relative to the support assembly 138), the first end thereof is generally flush with the upper side 134 of the support assembly 138. Or slightly concave. When the support assembly 138 is lowered to the transfer position, the lift pin 150 contacts the bottom 108 of the chamber body 102 and moves through the support assembly 138 to extend from the upper side 134 of the support assembly 138, thereby supporting the substrate 140 and the support Component 138 is separated.

一實施例中,利用不同長度之舉升銷150(如第1A圖所示)以便其在不同時間與底部108接觸並啟動。例如,將舉升銷150間隔配置在基材140之外緣,搭配自外緣向基材140中心向內間隔配置相對較短之舉升銷150,使得基 材140首先在相對其中心之外緣處被舉起。另一實施例中,可利用相同長度之舉升銷150搭配位於外側舉升銷150下之凸塊或平臺182(虛線表示),使得外側舉升銷150較早啟動並移動基材140離開上表面134較長之距離(相對於內側舉升銷150)。或者,腔室底部108可包括內側舉升銷150下的槽或溝,使得內側舉升銷150較晚啟動並比外側舉升銷150移動較短之距離。具有適以自基材支撐件以邊緣至中心方式舉起基材之舉升銷的系統且適於自本發明取得好處之實施例描述於Shang等人在2002年12月2日申請之美國專利申請號10/308,385且在2004年1月31日核發之美國專利號6,676,761,以及Blonigan等人在2003年6月12日申請之美國專利申請號10/460,916且在2006年10月3日核發之美國專利號7,083,702,兩者皆以其全文在此併入本文中以作參考。.In one embodiment, lift pins 150 of different lengths (as shown in Figure 1A) are utilized so that they contact and actuate with the bottom 108 at different times. For example, the lift pins 150 are spaced apart from each other at the outer edge of the substrate 140, and a relatively short lift pin 150 is disposed inwardly spaced from the outer edge toward the center of the substrate 140. The material 140 is first lifted at the outer edge relative to its center. In another embodiment, the lift pins 150 of the same length can be used with the bumps or platforms 182 (shown in phantom) under the outer lift pins 150 such that the outer lift pins 150 start earlier and move the substrate 140 away. The surface 134 is a longer distance (relative to the inner lift pin 150). Alternatively, the chamber bottom 108 may include a groove or groove below the inner lift pin 150 such that the inner lift pin 150 is activated later and moved a shorter distance than the outer lift pin 150. An embodiment having a system adapted to lift a lift pin of a substrate from a substrate support in an edge-to-center manner and adapted to benefit from the present invention is described in U.S. Patent Application No. 2, 2002, to Shang et al. US Patent No. 6,676,761, filed on Jan. 31, 2004, and U.S. Patent Application Serial No. 10/460,916, filed on Jun. U.S. Patent No. 7,083,702, the entire disclosure of which is incorporated herein by reference .

支撐組件138在處理過程中通常為接地的,使得電源122向分配板118(或其他位於腔室主體102之蓋組件110附近或內部的其他電極)供應之RF功率可激發介於支撐組件138與分配板118間之處理容積112中的氣體。通常挑選與基材140大小相符之RF功率(來自電源122)以驅使化學氣相沉積處理。The support assembly 138 is typically grounded during processing such that RF power supplied by the power source 122 to the distribution plate 118 (or other electrode located near or within the cover assembly 110 of the chamber body 102) can be excited between the support assembly 138 and The gas in the processing volume 112 between the plates 118 is distributed. RF power (from power source 122) consistent with the size of substrate 140 is typically selected to drive the chemical vapor deposition process.

第1B圖係具有本發明之寬的RF接地片184之電漿輔助式化學氣相沉積系統100之一實施例的剖面圖。1B is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system 100 having a wide RF ground plate 184 of the present invention.

第1C圖係具有本發明之基材延伸桿170之電漿輔助式化學氣相沉積系統100之一實施例的剖面圖。一實施例中,延伸桿170透過螺紋孔、固定件706與夾鉗708而附著於傳導性支撐主體的周邊。1C is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system 100 having a substrate extension rod 170 of the present invention. In one embodiment, the extension rod 170 is attached to the periphery of the conductive support body through the threaded bore, the fastener 706 and the clamp 708.

第2圖係基材支撐組件138之實施例的頂視圖,其顯示延伸桿170附著於基材支撐組件138的一實施例。一實施例中,延伸桿170具有至少一凹口202。凹口202可讓停止桿702(顯示於第7圖中)接觸延伸桿170並避免基材支撐組件138任何近一步的向上垂直移動。雖然第2圖中所示之實施例顯示一延伸桿170耦接至基材支撐組件138之周邊,但應當理解任何數目之延伸桿(例如,四個延伸桿)可用於本發明中。針對利用多個延伸桿之實施例,可進一步理解延伸桿可形成一單一部件且與基材支撐組件138耦接。亦描述嵌入式加熱元件132之一實施例。2 is a top plan view of an embodiment of a substrate support assembly 138 showing an embodiment in which the extension rod 170 is attached to the substrate support assembly 138. In one embodiment, the extension rod 170 has at least one notch 202. The notch 202 allows the stop lever 702 (shown in Figure 7) to contact the extension rod 170 and avoid any further upward vertical movement of the substrate support assembly 138. While the embodiment shown in Figure 2 shows an extension rod 170 coupled to the periphery of the substrate support assembly 138, it should be understood that any number of extension rods (e.g., four extension rods) can be used in the present invention. For embodiments utilizing multiple extension rods, it will be further appreciated that the extension rod can form a single component and be coupled to the substrate support assembly 138. One embodiment of the embedded heating element 132 is also described.

隨著基材支撐件大小的提高,將表面積提高之基材支撐組件安裝於處理室中變得非常難且在某些實例中無法達成。由於這些大小的限制,當將支撐組件138安裝於處理系統100中時,在安裝延伸桿170之前先將支撐組件138安裝於處理系統100中。因此在將支撐組件138安裝於處理系統100中之後,延伸桿170可允許支撐組件138表面積的提高。As the size of the substrate support increases, it becomes very difficult to install the substrate support assembly with increased surface area in the processing chamber and in some instances cannot be achieved. Due to these size limitations, when the support assembly 138 is installed in the processing system 100, the support assembly 138 is first installed in the processing system 100 prior to installation of the extension rod 170. Thus, after the support assembly 138 is installed in the processing system 100, the extension rod 170 can allow for an increase in the surface area of the support assembly 138.

參照第3圖、第4圖與第5圖,寬的RF接地片184通常包括一第一端302與一第二端304以及至少一曲折 306。第一彎曲部分308自曲折306延伸至第一端302而第二彎曲部分310自曲折306延伸至第二端304。第一端302包括安裝凸緣314而第二端304亦包括安裝凸緣316。彎曲部分308、310之外形大致為四邊形且可讓基材支撐組件138相對腔室底部108進行垂直移動。一實施例中,寬的RF接地片184具有一狹縫312自第一彎曲部分308通過曲折306延伸至第二彎曲部分310。狹縫312有助於提高寬的RF接地片184之彈性。Referring to Figures 3, 4 and 5, the wide RF ground lug 184 generally includes a first end 302 and a second end 304 and at least one zigzag 306. The first curved portion 308 extends from the meander 306 to the first end 302 and the second curved portion 310 extends from the meander 306 to the second end 304. The first end 302 includes a mounting flange 314 and the second end 304 also includes a mounting flange 316. The curved portions 308, 310 are generally quadrangular in shape and allow the substrate support assembly 138 to move vertically relative to the chamber bottom 108. In one embodiment, the wide RF ground lug 184 has a slit 312 extending from the first curved portion 308 through the meander 306 to the second curved portion 310. The slit 312 helps to increase the flexibility of the wide RF ground strip 184.

寬的RF接地片184包括具彈性、低阻抗傳導材質,該材質可耐受處理與清潔之化學作用。一實施例中,寬的RF接地片184係由鋁所構成。或者,寬的RF接地片184可包括鈦、不鏽鋼、鈹銅或由傳導性金屬塗層所塗覆之彈性材質。The wide RF ground lug 184 includes a resilient, low-impedance conductive material that withstands the chemical effects of handling and cleaning. In one embodiment, the wide RF ground lug 184 is constructed of aluminum. Alternatively, the wide RF ground lug 184 may comprise titanium, stainless steel, beryllium copper or an elastomeric material coated with a conductive metal coating.

第3圖係具有根據本發明之寬的RF接地片之電漿輔助式化學氣相沉積系統之一實施例的剖面圖。寬的RF接地片184提供支撐組件138與腔室主體102之間的RF回流電流路徑。寬的RF接地片184之第一端302經由連接組件318而電性耦接至支撐組件138,通常係耦接至支撐主體124之下側126,而第二端304藉由底部夾鉗324而電性耦接至腔室底部108。舉例來說,寬的RF接地片184可經由其他構件耦接至支撐主體124,諸如固定件、夾鉗或其他維持支撐主體124與寬的RF接地片184之間電性連接的方法。連接組件318自支撐主體124之周邊向外延 伸並與之垂直。連接組件318包括一頂片320與一底片322。頂片320包括L形片而底片322包括平板。寬的接地片184之第一端302的安裝凸緣314係置於頂片320與底片322之間。第3圖所述之實施例中,連接組件318經由兩個固定件與相對應之螺紋孔固定至支撐主體124。第二端304具有一安裝凸緣316與其耦接以促進將寬的RF接地片184耦接至腔室底部108。一實施例中,安裝凸緣316經由一固定件與螺紋孔固定至腔室底部108並介於腔室底部108與底部夾鉗324之間。可以理解可利用黏著劑、夾鉗或其他維持腔室主體102與寬的RF接地片184之間電性連接的方法而將寬的RF接地片184附著於腔室底部108與/或支撐組件138。Figure 3 is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system having a wide RF ground plane in accordance with the present invention. The wide RF ground lug 184 provides an RF return current path between the support assembly 138 and the chamber body 102. The first end 302 of the wide RF grounding lug 184 is electrically coupled to the support assembly 138 via the connection assembly 318, typically coupled to the underside 126 of the support body 124, while the second end 304 is coupled by the bottom clamp 324. Electrically coupled to the chamber bottom 108. For example, the wide RF ground lug 184 can be coupled to the support body 124 via other components, such as a fixture, clamp, or other method of maintaining electrical connection between the support body 124 and the wide RF ground lug 184. The connecting component 318 extends from the periphery of the supporting body 124 Extend and be perpendicular to it. The connector assembly 318 includes a topsheet 320 and a backsheet 322. The topsheet 320 includes an L-shaped sheet and the backsheet 322 includes a flat sheet. A mounting flange 314 of the first end 302 of the wide ground lug 184 is disposed between the topsheet 320 and the backsheet 322. In the embodiment illustrated in FIG. 3, the attachment assembly 318 is secured to the support body 124 via two fasteners and corresponding threaded holes. The second end 304 has a mounting flange 316 coupled thereto to facilitate coupling the wide RF ground lug 184 to the chamber bottom 108. In one embodiment, the mounting flange 316 is secured to the chamber bottom 108 via a fastener and threaded bore and between the chamber bottom 108 and the bottom clamp 324. It will be appreciated that a wide RF ground lug 184 can be attached to the chamber bottom 108 and/or support assembly 138 by means of an adhesive, clamp or other means for maintaining electrical connection between the chamber body 102 and the wide RF ground lug 184. .

連接組件318與底部夾鉗324各自包括可耐受處理與清潔化學作用的低阻抗傳導材質。一實施例中,連接組件318與底部夾鉗324包括鋁。或者,該材質可包括鈦、不鏽鋼、鈹銅或由傳導性金屬塗層所塗覆之任何材質。另一實施例中,連接組件318包括第一傳導材質而底部夾鉗324包括第二傳導材質,其中第一傳導材質與第二傳導材質為不同材質。Connection assembly 318 and bottom clamp 324 each include a low impedance conductive material that can withstand processing and cleaning chemistry. In one embodiment, the connection assembly 318 and the bottom clamp 324 comprise aluminum. Alternatively, the material may comprise titanium, stainless steel, beryllium copper or any material coated with a conductive metal coating. In another embodiment, the connection component 318 includes a first conductive material and the bottom clamp 324 includes a second conductive material, wherein the first conductive material and the second conductive material are different materials.

一實施例中,寬的RF接地片184之至少一部分與側壁106之距離為X。支撐主體124與側壁106之距離為Y。寬的RF接地片184之至少一部分與側壁106之間的距離X通常小於支撐主體124與側壁106之間的距離Y。一實施 例中,針對25K(約27,750cm2 )或更大之基材,距離X通常介於約0.2cm至約3cm之間,例如約0.5cm。In one embodiment, at least a portion of the wide RF ground strip 184 is at a distance X from the sidewall 106. The distance between the support body 124 and the side wall 106 is Y. The distance X between at least a portion of the wide RF ground lug 184 and the sidewall 106 is generally less than the distance Y between the support body 124 and the sidewall 106. In one embodiment, for a substrate of 25K (about 27,750 cm 2 ) or greater, the distance X is typically between about 0.2 cm to about 3 cm, such as about 0.5 cm.

與傳統接地技術相比,寬的RF接地片184明顯地縮短RF電流到地面的回流路徑。電流由電漿傳至基材140,該基材140電性接觸於支撐組件138之支撐主體124。主體124之下側126電性接觸於寬的RF接地片184,因此電流由主體124傳送經過寬的RF接地片184並到達與地面耦接之腔室底部108。再者,寬的RF接地片184比現行之傳導帶提供較大之電流攜帶區域,使得其理想地適合用於大面積處理應用。寬的RF接地片184之較短距離與較大電流攜帶能力造成支撐組件138表面與接地之腔室主體102之間較小的電壓差異,藉此實質上減少電漿在基材支撐組件138下方點燃的可能性,這可能會在系統102中濺射不欲之污染物。The wide RF ground lug 184 significantly reduces the RF current to ground return path compared to conventional grounding techniques. The current is transferred from the plasma to the substrate 140, which is in electrical contact with the support body 124 of the support assembly 138. The lower side 126 of the body 124 is in electrical contact with the wide RF ground lug 184 such that current is transmitted by the body 124 through the wide RF ground lug 184 and to the chamber bottom 108 coupled to the ground. Moreover, the wide RF ground lug 184 provides a larger current carrying area than current conductive strips, making it ideally suited for large area processing applications. The shorter distance and greater current carrying capability of the wide RF ground strip 184 results in a smaller voltage difference between the surface of the support assembly 138 and the grounded chamber body 102, thereby substantially reducing plasma under the substrate support assembly 138. The possibility of ignition, which may sputter unwanted contaminants in system 102.

一實施例中,基材支撐組件138藉由複數個寬的RF接地片184而接地,該接地片在支撐主體124與地面之間提供低阻抗之RF回流路徑。例如,四群接地路徑構件184可耦接至四邊基材支撐主體124之各個相對側邊。各個群組包括介於1至15之間寬的RF接地片184,例如11至13之間寬的RF接地片184。另一實施例中,可利用任何數目之寬的RF接地片184搭配傳統接地片。In one embodiment, the substrate support assembly 138 is grounded by a plurality of wide RF ground pads 184 that provide a low impedance RF return path between the support body 124 and the ground. For example, four groups of ground path members 184 can be coupled to respective opposite sides of the four-sided substrate support body 124. Each group includes a wide RF ground pad 184 between 1 and 15, such as a wide RF ground pad 184 between 11 and 13. In another embodiment, any number of wide RF ground lugs 184 can be utilized with conventional ground lugs.

一實施例中,至少一如White等人在2006年11月20日申請之美國專利申請案號11/564,463所述之接地路徑完 整性感應器(未顯示)接合於寬的RF接地片184。接地路徑完整性感應器可促進監測寬的RF接地片184是否保持適合在支撐主體124與腔室主體102之間傳導電流。In one embodiment, at least one of the ground paths as described in U.S. Patent Application Serial No. 11/564,463, filed on Nov. 20, 2006. An integrity sensor (not shown) is bonded to the wide RF ground pad 184. The ground path integrity sensor can facilitate monitoring whether the wide RF ground lug 184 remains suitable for conducting current between the support body 124 and the chamber body 102.

第4圖係第3圖所示之寬的RF接地片之側視圖。如圖所示,寬的RF接地片184具有足夠之彈性可允許基材支撐組件138在箭頭400所示之方向上改變高度。雖然第4圖中所示之寬的RF接地片184僅包括一曲折306,但是可在寬的RF接地片184中形成多個曲折以形成類似手風琴之結構。其他實施例亦包括不具任何曲折之寬的RF接地片。曲折306位於多邊形基材支撐組件138下方且指向大致平行於支撐組件138之周邊。曲折306預先形成於寬的RF接地片184中以提高寬的RF接地片184之使用壽命;基材組件138在箭頭400所示之方向上的垂直移動傳送給寬的RF接地片184之反覆壓力可能會造成曲折破裂,迫使寬的RF接地片184之更換。參照第1圖,隨著軸142向下移動,複數個舉升銷150接觸腔室底部108因而提高基材140離開支撐組件138。軸142向下移動的過程中,寬的RF接地片184之曲折306由基材支撐組件138之周邊向內伸出,同時仍然保持與支撐組件138之周邊的電性接觸。如第4圖中所示般,隨著寬的RF接地片184屈曲,寬的RF接地片184不會與舉升銷150交叉。Figure 4 is a side view of the wide RF ground strip shown in Figure 3. As shown, the wide RF ground lug 184 has sufficient resiliency to allow the substrate support assembly 138 to change height in the direction indicated by arrow 400. Although the wide RF ground lug 184 shown in FIG. 4 includes only one zigzag 306, a plurality of zigzags can be formed in the wide RF ground lug 184 to form an accordion-like structure. Other embodiments also include RF ground lugs that do not have any tortuous width. The meander 306 is located below the polygonal substrate support assembly 138 and is directed generally parallel to the perimeter of the support assembly 138. The meander 306 is pre-formed in the wide RF ground 184 to increase the useful life of the wide RF ground 184; the vertical movement of the substrate assembly 138 in the direction indicated by the arrow 400 is transmitted to the overlying pressure of the wide RF ground 184 It may cause a tortuous fracture that forces the replacement of the wide RF ground lug 184. Referring to Figure 1, as the shaft 142 moves downward, the plurality of lift pins 150 contact the chamber bottom 108 thereby increasing the substrate 140 away from the support assembly 138. During the downward movement of the shaft 142, the tortuous 306 of the wide RF ground lug 184 projects inwardly from the periphery of the substrate support assembly 138 while still maintaining electrical contact with the periphery of the support assembly 138. As shown in FIG. 4, as the wide RF ground lug 184 flexes, the wide RF ground lug 184 does not intersect the lift pin 150.

第5圖係根據本發明之寬的RF接地片之一實施例的平面圖。如上所述,寬的RF接地片184具有第一端302 與第二端304以及至少一曲折306。一實施例中,接地片由第一端302至第二端304之長度係介於約60cm至約70cm之間,例如約62cm。一實施例中,自曲折306朝向第一端302之第一彎曲部分308的長度係介於約30cm至約35cm之間,例如約31cm。一實施例中,自曲折306朝向第二端304之第二彎曲部分310的長度係介於約30cm至約35cm之間,例如約31cm。彎曲部分外形大致為四邊形且允許支撐組件相對腔室底部108的垂直移動。一實施例中,寬的RF接地片184具有一狹縫,其自第一彎曲部分308通過曲折306延伸至第二彎曲部分310。狹縫在與第一端302相差約10cm至約20cm之間(例如,約19cm)處開始,並延伸經過曲折306且結束於與第二端304相差約10cm至約20cm之間(例如,約19cm)處。狹縫寬度係介於約1cm至約8cm之間(例如,約1.6cm寬)。寬的RF接地片之厚度係介於約0.2mm至約about 0.3mm之間(例如,約0.25mm厚)。寬的RF接地片184之第一端302的安裝凸緣314包括兩個固定孔,其適於接收配置穿過連接組件318之兩個固定件(如第3圖與第4圖中所示)。寬的RF接地片184之第二端304的安裝凸緣316包括一個固定孔,其適於接收配置穿過底部夾鉗324之一固定件。Figure 5 is a plan view of one embodiment of a wide RF ground strip in accordance with the present invention. As described above, the wide RF ground strip 184 has a first end 302 And the second end 304 and at least one zigzag 306. In one embodiment, the length of the ground strip from the first end 302 to the second end 304 is between about 60 cm and about 70 cm, such as about 62 cm. In one embodiment, the length of the first curved portion 308 from the meander 306 toward the first end 302 is between about 30 cm and about 35 cm, such as about 31 cm. In one embodiment, the length of the second curved portion 310 from the meander 306 toward the second end 304 is between about 30 cm and about 35 cm, such as about 31 cm. The curved portion is generally quadrangular in shape and allows vertical movement of the support assembly relative to the chamber bottom 108. In one embodiment, the wide RF ground lug 184 has a slit that extends from the first curved portion 308 through the meander 306 to the second curved portion 310. The slit begins at a distance of between about 10 cm and about 20 cm (eg, about 19 cm) from the first end 302 and extends through the meander 306 and ends between about 10 cm and about 20 cm from the second end 304 (eg, about 19cm). The slit width is between about 1 cm and about 8 cm (eg, about 1.6 cm wide). The thickness of the wide RF ground lug is between about 0.2 mm and about about 0.3 mm (eg, about 0.25 mm thick). The mounting flange 314 of the first end 302 of the wide RF ground lug 184 includes two securing holes adapted to receive two fasteners disposed through the connecting assembly 318 (as shown in Figures 3 and 4) . The mounting flange 316 of the second end 304 of the wide RF ground lug 184 includes a securing aperture adapted to receive a fixture disposed through one of the bottom jaws 324.

第6A圖係根據本發明之連接組件318之一實施例的側視圖。連接組件318包括一頂片320與一底片322。頂片320包括L形片而底片322包括平板。頂片320與底片322兩者具有至少一組校準之固定孔並適以接受至少一固 定件(未顯示),例如安裝螺絲,其配置穿過底片322、寬的RF接地片184之安裝凸緣314、頂片320且最終穿過支撐主體124。因此,寬的RF接地片184係夾於底片322與頂片320之間。連接組件318自支撐主體124之周邊向外延伸並與之垂直。第6B圖係根據本發明之第6A圖的連接組件318之頂片320的頂視圖。頂片具有至少一組固定孔602、604,經排列並適以接收至少一固定件。第6C圖係根據本發明之第6A圖的連接組件之前視圖。Figure 6A is a side elevational view of one embodiment of a connector assembly 318 in accordance with the present invention. The connector assembly 318 includes a topsheet 320 and a backsheet 322. The topsheet 320 includes an L-shaped sheet and the backsheet 322 includes a flat sheet. Both the topsheet 320 and the backsheet 322 have at least one set of calibrated mounting holes and are adapted to receive at least one solid A fixture (not shown), such as a mounting screw, is disposed through the backsheet 322, the mounting flange 314 of the wide RF ground lug 184, the topsheet 320, and ultimately through the support body 124. Thus, a wide RF ground lug 184 is sandwiched between the backsheet 322 and the topsheet 320. The attachment assembly 318 extends outwardly from the periphery of the support body 124 and is perpendicular thereto. Figure 6B is a top plan view of the topsheet 320 of the connector assembly 318 in accordance with Figure 6A of the present invention. The topsheet has at least one set of securing apertures 602, 604 that are aligned and adapted to receive at least one fastener. Figure 6C is a front elevational view of the connection assembly in accordance with Figure 6A of the present invention.

第7圖係具有根據本發明之基材延伸桿的電漿輔助式化學氣相沉積系統之一實施例的剖面圖。此實施例中,雖然繪式之基材延伸桿170不具寬的RF接地片184,但是可如第1圖所示般搭配寬的RF接地片184而應用基材延伸桿170。延伸桿170係連接於基材支撐組件138之支撐主體124的周邊。一實施例中,延伸桿170係經由螺紋孔、固定件706與夾鉗708連接於支撐主體124的周邊。可以理解可用黏著劑、硬焊(brazing)或其他方法將延伸桿170連接至支撐組件138。Figure 7 is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system having a substrate extension rod in accordance with the present invention. In this embodiment, although the base extension rod 170 of the drawing does not have a wide RF ground lug 184, the base extension rod 170 can be applied with a wide RF ground lug 184 as shown in FIG. The extension rod 170 is attached to the periphery of the support body 124 of the substrate support assembly 138. In one embodiment, the extension rod 170 is coupled to the periphery of the support body 124 via a threaded bore, a fastener 706, and a clamp 708. It will be appreciated that the extension rod 170 can be attached to the support assembly 138 with an adhesive, brazing or other means.

一實施例中,延伸桿170具有至少一凹口202。凹口202可讓停止桿702(連接於腔室側壁106)接觸延伸桿170並避免基材支撐組件138任何近一步的向上垂直移動。停止桿702係可調整且可位於不同高度,而這取決於使用者之需求。基材延伸桿170自支撐組件138之下側126向下延伸並與之垂直。其他實施例中,基材延伸桿170可經修 改以形成相對支撐組件138之下側126的銳角或鈍角。可根據使用者之要求挑選所欲之角度好控制側壁106上以及基材支撐組件138之支撐主體124下方的電漿形成。In one embodiment, the extension rod 170 has at least one notch 202. The recess 202 allows the stop bar 702 (attached to the chamber sidewall 106) to contact the extension rod 170 and avoid any further upward vertical movement of the substrate support assembly 138. The stop bars 702 are adjustable and can be at different heights, depending on the needs of the user. The substrate extension rod 170 extends downwardly from the lower side 126 of the support assembly 138 and is perpendicular thereto. In other embodiments, the substrate extension rod 170 can be repaired. Instead, an acute or obtuse angle is formed relative to the underside 126 of the support assembly 138. The desired angle can be selected to control the plasma formation on the sidewall 106 and under the support body 124 of the substrate support assembly 138, as desired by the user.

延伸桿170可包括耐受處理與清潔化學作用之低阻抗傳導材質。一實施例中,延伸桿170包括鋁。或者,該材質可包括鈦、不鏽鋼(例如,INCONEL®)、鈹銅或由傳導性金屬塗層所塗覆之任何材質。另一實施例中,延伸桿170包括聚合物材質。舉例來說,聚合物材質包括諸如聚苯硫(polyphenylene sulfide, PPS)與聚醚醚酮(polyetheretherketone, PEEK)之材質。The extension rod 170 can include a low impedance conductive material that withstands processing and cleaning chemistry. In one embodiment, the extension rod 170 comprises aluminum. Alternatively, the material may include titanium, stainless steel (eg, INCONEL®), beryllium copper, or any material coated with a conductive metal coating. In another embodiment, the extension rod 170 comprises a polymeric material. For example, the polymer material includes materials such as polyphenylene sulfide (PPS) and polyetheretherketone (PEEK).

第8圖係具有根據本發明之基材延伸桿的電漿輔助式化學氣相沉積系統之一實施例的剖面圖。此實施例中,雖然繪式之基材延伸桿802不具寬的RF接地片184,但是可搭配寬的RF接地片184來應用基材延伸桿802。此實施例中,基材延伸桿802係連接至支撐主體124之側邊。一實施例中,延伸桿170經由螺紋孔(未顯示)與固定件(未顯示)而固定於支撐主體124之周邊。可以理解可用黏著劑、夾鉗、硬焊或其他方法將延伸桿802連接至支撐組件138。Figure 8 is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system having a substrate extension rod in accordance with the present invention. In this embodiment, although the base extension 802 of the drawing does not have a wide RF ground lug 184, the base extension rod 802 can be applied with a wide RF ground lug 184. In this embodiment, the substrate extension rod 802 is attached to the side of the support body 124. In one embodiment, the extension rod 170 is secured to the periphery of the support body 124 via a threaded bore (not shown) and a fastener (not shown). It will be appreciated that the extension rod 802 can be attached to the support assembly 138 with an adhesive, clamp, brazing or other means.

第9圖顯示一流程圖900,其根據本發明之一實施例描述處理基材之步驟。參照第1-8圖,步驟902中,提供耦接於基材支撐組件138與腔室底部108間之寬的接地片184。接下來在步驟904中,提供RF功率給置於基材140 上方之分配板118。接下來在步驟906中,電漿處理置於基材支撐組件138上之基材140。Figure 9 shows a flow diagram 900 depicting the steps of processing a substrate in accordance with an embodiment of the present invention. Referring to Figures 1-8, in step 902, a wide ground lug 184 is provided that is coupled between the substrate support assembly 138 and the chamber bottom 108. Next in step 904, RF power is provided for placement on substrate 140. The distribution plate 118 above. Next in step 906, the substrate 140 is placed on the substrate support assembly 138 by plasma processing.

第10圖顯示一流程圖1000,其根據本發明之一實施例描述處理基材之步驟。參照第1-8圖,步驟1002中,提供含有耦接至基材支撐組件138之周邊的延伸桿170之處理系統100。步驟1004中,提供RF功率給置於基材140上方之分配板118。在步驟1006中,流動氣體經過分配板118。步驟1008中,電漿處理置於基材支撐組件138上之基材140。步驟1010中,調控氣流以提高基材處理室中之電漿密度。Figure 10 shows a flow chart 1000 depicting the steps of processing a substrate in accordance with an embodiment of the present invention. Referring to Figures 1-8, in step 1002, a processing system 100 is provided having an extension rod 170 coupled to the periphery of a substrate support assembly 138. In step 1004, RF power is provided to a distribution plate 118 disposed above the substrate 140. In step 1006, the flowing gas passes through distribution plate 118. In step 1008, the substrate 140 is placed on a substrate support assembly 138 by plasma processing. In step 1010, the gas flow is regulated to increase the plasma density in the substrate processing chamber.

參照第1圖,並不受到原理所限制除非明確陳述於申請專利範圍,字母A代表分散器118之電位、字母B代表支撐組件138上之電位、字母C代表寬的RF接地片184上之電位而字母D代表腔室主體102上之電位。然而在一態樣中,當對分散器118施加一電位(例如,A電位)時,支撐組件138雖然利用寬的RF接地片184耦接至地面,但其電位不是零而是某一電位(例如,B電位)。A電位與B電位之間的差異在分散器118與支撐組件138之間產生電漿。同樣地,支撐組件上之電位(B電位)與腔室主體上之電位(D電位)之間的差異會在腔室主體102與支撐組件138之間產生電漿。此電位差異會導致在腔室主體102上沉積不欲之多孔性膜。此多孔性膜在沉積過程中成片剝落,會造成膜內的微粒污染。Referring to Figure 1, it is not limited by principle unless explicitly stated in the scope of the patent application, the letter A represents the potential of the diffuser 118, the letter B represents the potential on the support assembly 138, and the letter C represents the potential on the wide RF ground piece 184. The letter D represents the potential on the chamber body 102. In one aspect, however, when a potential (e.g., A potential) is applied to the diffuser 118, the support assembly 138 is coupled to the ground using a wide RF ground lug 184, but its potential is not zero but a certain potential ( For example, B potential). The difference between the A potential and the B potential creates a plasma between the disperser 118 and the support assembly 138. Likewise, the difference between the potential on the support assembly (B potential) and the potential on the chamber body (D potential) creates a plasma between the chamber body 102 and the support assembly 138. This potential difference causes deposition of an undesired porous film on the chamber body 102. This porous film flakes off during the deposition process, which causes particle contamination in the film.

寬的RF接地片184在支撐組件138與腔室主體102之間提供低的阻抗路徑。寬的RF接地片184增加之寬度可減少支撐組件138之電位(B電位),以便其接近腔室主體102之電位(D電位),因而減少腔室主體102與支撐組件138之間產生的電漿。寬的RF接地片增加之寬度亦可減少破損因而提高寬的RF接地片之壽命。再者,寬的RF接地片184接近腔室側壁106有助於減少腔室側壁106、支撐組件138與RF接地片之間產生的感應電漿數量。The wide RF ground lug 184 provides a low impedance path between the support assembly 138 and the chamber body 102. The increased width of the wide RF ground strip 184 reduces the potential (B potential) of the support assembly 138 so that it approaches the potential (D potential) of the chamber body 102, thereby reducing the power generated between the chamber body 102 and the support assembly 138. Pulp. The increased width of the wide RF ground lug also reduces breakage and thus increases the life of the wide RF ground lug. Moreover, the proximity of the wide RF ground lug 184 to the chamber sidewall 106 helps to reduce the amount of inductive plasma generated between the chamber sidewall 106, the support assembly 138, and the RF ground lug.

延伸桿170減少腔室側壁106與狹縫閥通道160兩者上之氣流。減少之氣流會減少腔室側壁106與狹縫閥通道160兩者上沉積之多孔性膜。The extension rod 170 reduces airflow over both the chamber sidewall 106 and the slit valve passage 160. The reduced airflow reduces the porous film deposited on both the chamber sidewall 106 and the slit valve channel 160.

因此,已經提出減少PECVD腔室中膜內微粒污染物之方法與設備。使用寬的RF接地片以及其鄰近腔室壁可有利地減少腔室不欲之部分中產生之電漿,因而造成膜內微粒污染物之減少。使用寬的RF接地片進一步提供基材支撐件接地能力的增加,同時亦提高接地片之壽命且因此造成系統因為腔室清潔與置換接地片之停工期的減少。使用基材延伸桿170提供進一步的優點,其可進一步控制氣流與電漿產生。Accordingly, methods and apparatus for reducing particulate contaminants in a membrane in a PECVD chamber have been proposed. The use of a wide RF ground lug and its adjacent chamber walls advantageously reduces the plasma generated in the undesired portions of the chamber, thereby causing a reduction in particulate contaminants within the membrane. The use of a wide RF ground lug further provides an increase in the grounding capability of the substrate support while also increasing the life of the ground lug and thus causing a reduction in system downtime due to chamber cleaning and replacement ground lugs. The use of substrate extension rod 170 provides a further advantage that can further control airflow and plasma generation.

雖然上述係關於本發明之實施例,但可在不悖離本發明之範圍設計其他與進一步的實施例,而其之範圍係由下述之申請專利範圍所決定。While the foregoing is directed to the embodiments of the present invention, the invention and the scope of the invention

100‧‧‧系統100‧‧‧ system

102‧‧‧腔室主體102‧‧‧ chamber body

104‧‧‧氣體源104‧‧‧ gas source

106‧‧‧側壁106‧‧‧ side wall

108‧‧‧底部108‧‧‧ bottom

110‧‧‧蓋組件110‧‧‧Cover components

112‧‧‧處理容積112‧‧‧Processing volume

114‧‧‧泵送口114‧‧‧ pumping port

118‧‧‧分配板118‧‧‧Distribution board

120‧‧‧內側120‧‧‧ inside

122‧‧‧電源122‧‧‧Power supply

124‧‧‧支撐主體124‧‧‧Support subject

126‧‧‧下側126‧‧‧ underside

128‧‧‧絕緣體128‧‧‧Insulator

132‧‧‧加熱元件132‧‧‧ heating element

134‧‧‧上側134‧‧‧ upper side

136‧‧‧舉升系統136‧‧‧ Lifting system

138‧‧‧支撐組件138‧‧‧Support components

140‧‧‧基材140‧‧‧Substrate

142‧‧‧軸142‧‧‧Axis

144‧‧‧桿蓋144‧‧‧ rod cover

146‧‧‧風箱146‧‧‧ bellows

150‧‧‧舉升銷150‧‧‧Upselling

160‧‧‧狹縫閥160‧‧‧Slit valve

170‧‧‧延伸桿170‧‧‧Extension rod

182‧‧‧平臺182‧‧‧ platform

184‧‧‧接地片184‧‧‧ Grounding piece

202‧‧‧凹口202‧‧‧ notch

302‧‧‧第一端302‧‧‧ first end

304‧‧‧第二端304‧‧‧ second end

306‧‧‧曲折306‧‧‧ twists and turns

308‧‧‧第一彎曲部分308‧‧‧First bend

310‧‧‧第二彎曲部分310‧‧‧The second bend

312‧‧‧狹縫312‧‧‧ slit

314、316‧‧‧安裝凸緣314, 316‧‧‧ mounting flange

318‧‧‧連接組件318‧‧‧Connecting components

320‧‧‧頂片320‧‧‧Top film

322‧‧‧底片322‧‧‧ negative film

324‧‧‧底部夾鉗324‧‧‧Bottom clamp

400‧‧‧箭頭400‧‧‧ arrow

602、604‧‧‧固定孔602, 604‧‧‧ fixing holes

702‧‧‧停止桿702‧‧‧stop rod

706‧‧‧固定件706‧‧‧Fixed parts

708‧‧‧夾鉗708‧‧‧ clamp

802‧‧‧延伸桿802‧‧‧Extension rod

900、1000‧‧‧流程圖900, 1000‧‧‧ flow chart

902、904、906、1002、1004、1006、1008、1010‧‧‧步驟902, 904, 906, 1002, 1004, 1006, 1008, 1010‧ ‧ steps

為了詳細地了解本發明上述之特徵,本發明更明確的描述(簡短地總結於上)可參照許多實施例(某些描述於附圖中)。然而,由於本發明容許其他等效之實施例,可以理解附圖僅描述本發明的典型實施例且因此不應視為本發明範圍的限制。For a more detailed description of the above described features of the invention, reference to the embodiments of the invention, However, the present invention is to be construed as being limited by the scope of the invention

第1A圖係具有本發明之寬的RF接地片與基材延伸桿之電漿輔助化學氣相沉積系統之一實施例的剖視圖;第1B圖係具有本發明之寬的RF接地片之電漿輔助化學氣相沉積系統之一實施例的剖視圖;第1C圖係具有本發明之基材延伸桿的電漿輔助化學氣相沉積系統之一實施例的剖視圖;第2圖係基材支撐組件之一實施例的頂視圖;第3圖係具有根據本發明之寬的RF接地片之電漿輔助化學氣相沉積系統之一實施例的剖視圖;第4圖係第3圖所示之寬的RF接地片之側面剖視圖;第5圖係根據本發明之寬的RF接地片之一實施例的平面圖;第6A圖係根據本發明的連接組件之一實施例的側視圖; 第6B圖係根據本發明之第6A圖的連接組件之頂視圖;第6C圖係根據本發明之第6A圖的連接組件之前視圖;第7圖係具有根據本發明之基材延伸桿的電漿輔助化學氣相沉積系統之一實施例的剖視圖;第8圖係具有根據本發明之基材延伸桿的電漿輔助化學氣相沉積系統之一實施例的剖視圖;第9圖顯示一流程圖,其根據本發明之一實施例描述處理基材之步驟;以及第10圖顯示一流程圖,其根據本發明之一實施例描述處理基材之步驟。1A is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system having a wide RF grounding strip and substrate extension rod of the present invention; and FIG. 1B is a plasma having a wide RF grounding strip of the present invention. A cross-sectional view of one embodiment of an auxiliary chemical vapor deposition system; a first cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system having a substrate extension rod of the present invention; and a second embodiment of a substrate support assembly A top view of an embodiment; FIG. 3 is a cross-sectional view of one embodiment of a plasma assisted chemical vapor deposition system having a wide RF ground plane in accordance with the present invention; and FIG. 4 is a wide RF shown in FIG. a side cross-sectional view of a grounding strip; FIG. 5 is a plan view of one embodiment of a wide RF grounding strip in accordance with the present invention; and FIG. 6A is a side elevational view of one embodiment of a connecting assembly in accordance with the present invention; Figure 6B is a top view of the connection assembly according to Figure 6A of the present invention; Figure 6C is a front view of the connection assembly according to Figure 6A of the present invention; and Figure 7 is a view of the base extension rod having the substrate according to the present invention. A cross-sectional view of one embodiment of a slurry-assisted chemical vapor deposition system; FIG. 8 is a cross-sectional view of one embodiment of a plasma-assisted chemical vapor deposition system having a substrate extension rod according to the present invention; and FIG. 9 shows a flow chart The steps of treating a substrate are described in accordance with an embodiment of the present invention; and FIG. 10 shows a flow chart depicting the steps of treating a substrate in accordance with an embodiment of the present invention.

為了加速理解,可使用相同的元件符號代表圖式共有的相同元件。可以理解可有利地將一實施例之元件與特徵併入其他實施例中而不需進一步列舉。To speed understanding, the same component symbols may be used to represent the same components that are common to the drawings. It will be appreciated that elements and features of an embodiment may be advantageously incorporated into other embodiments without further enumeration.

100‧‧‧系統100‧‧‧ system

102‧‧‧腔室主體102‧‧‧ chamber body

104‧‧‧氣體源104‧‧‧ gas source

106‧‧‧側壁106‧‧‧ side wall

108‧‧‧底部108‧‧‧ bottom

110‧‧‧蓋組件110‧‧‧Cover components

112‧‧‧處理容積112‧‧‧Processing volume

114‧‧‧泵送口114‧‧‧ pumping port

118‧‧‧分配板118‧‧‧Distribution board

120‧‧‧內側120‧‧‧ inside

122‧‧‧電源122‧‧‧Power supply

124‧‧‧支撐主體124‧‧‧Support subject

126‧‧‧下側126‧‧‧ underside

128‧‧‧絕緣體128‧‧‧Insulator

132‧‧‧加熱元件132‧‧‧ heating element

134‧‧‧上側134‧‧‧ upper side

136‧‧‧舉升系統136‧‧‧ Lifting system

138‧‧‧支撐組件138‧‧‧Support components

140‧‧‧基材140‧‧‧Substrate

142‧‧‧軸142‧‧‧Axis

144‧‧‧桿蓋144‧‧‧ rod cover

146‧‧‧風箱146‧‧‧ bellows

150‧‧‧舉升銷150‧‧‧Upselling

160‧‧‧狹縫閥160‧‧‧Slit valve

170‧‧‧延伸桿170‧‧‧Extension rod

182‧‧‧平臺182‧‧‧ platform

184‧‧‧接地片184‧‧‧ Grounding piece

Claims (21)

一種基材處理室,至少包含:一腔室主體,該腔室主體包括:一腔室底部;及一側壁,該側壁具有一狹縫閥;一基材支撐件,該基材支撐件包括一支撐主體,其中該基材支撐件係置於該腔室主體中;至少一寬的RF接地片,該至少一寬的RF接地片包括:一第一端,該第一端與該支撐主體耦接;一第二端,該第二端與該腔室底部耦接;至少一曲折,該至少一曲折位於該第一端與該第二端之間;一第一彎曲部分,該第一彎曲部分自該至少一曲折延伸向該第一端;及一第二彎曲部分,該第二彎曲部分自該至少一曲折延伸向該第二端,其中該寬的RF接地片具有一狹縫,該狹縫自該第一彎曲部分延伸通過該曲折進入該第二彎曲部分;及至少一延伸桿,該至少一延伸桿係沿著該支撐主體之一周邊而配置。 A substrate processing chamber includes at least: a chamber body including: a chamber bottom; and a side wall having a slit valve; a substrate support member, the substrate support member including a support body, wherein the substrate support is disposed in the chamber body; at least one wide RF ground piece, the at least one wide RF ground piece includes: a first end coupled to the support body a second end, the second end is coupled to the bottom of the chamber; at least one meander, the at least one meander is between the first end and the second end; a first curved portion, the first bend And extending from the at least one meander to the first end; and a second curved portion extending from the at least one meander to the second end, wherein the wide RF grounding piece has a slit, a slit extending from the first curved portion through the meander into the second curved portion; and at least one extension rod disposed along a periphery of the support body. 如申請專利範圍第1項所述之基材處理室,其中該接地片的至少一部分與該側壁之間的一距離係小於該支撐主體 與該側壁之間的一距離。 The substrate processing chamber of claim 1, wherein a distance between at least a portion of the grounding strip and the sidewall is smaller than the supporting body A distance from the side wall. 如申請專利範圍第1項所述之基材處理室,其中該至少一延伸桿係鄰近該側壁而配置。 The substrate processing chamber of claim 1, wherein the at least one extension rod is disposed adjacent to the sidewall. 如申請專利範圍第1項所述之基材處理室,其中該延伸桿自該支撐主體之一下側向下延伸並與該支撐主體之一下側垂直。 The substrate processing chamber of claim 1, wherein the extension rod extends downward from a lower side of the support body and is perpendicular to a lower side of the support body. 如申請專利範圍第1項所述之基材處理室,其中該基材支撐件係耦接至一舉升機構,該舉升機構適以允許該基材支撐件之垂直移動。 The substrate processing chamber of claim 1, wherein the substrate support is coupled to a lift mechanism adapted to permit vertical movement of the substrate support. 如申請專利範圍第1項所述之基材處理室,其中該腔室底部具有一泵送口,該泵送口將該基材處理室之一處理容積耦接至一泵浦系統。 The substrate processing chamber of claim 1, wherein the bottom of the chamber has a pumping port that couples a processing volume of the substrate processing chamber to a pumping system. 一種基材處理室,至少包含:一腔室主體,該腔室主體包括:一腔室底部;及一側壁;一基材支撐件,該基材支撐件包括一支撐主體,其中該基材支撐件係置於該腔室主體中;及 至少一寬的RF接地片,該至少一寬的RF接地片包括:一第一端,該第一端與該基材支撐件耦接;一第二端,該第二端與該腔室底部耦接;至少一曲折,該至少一曲折位於該第一端與該第二端之間;一第一彎曲部分,該第一彎曲部分自該至少一曲折延伸向該第一端;及一第二彎曲部分,該第二彎曲部分自該至少一曲折延伸向該第二端,其中該寬的RF接地片具有一狹縫,該狹縫自該第一彎曲部分延伸通過該曲折進入該第二彎曲部分。 A substrate processing chamber includes at least: a chamber body, the chamber body comprising: a chamber bottom; and a side wall; a substrate support member, the substrate support member comprising a support body, wherein the substrate support a piece is placed in the body of the chamber; and At least one wide RF ground strip, the at least one wide RF ground strip includes: a first end coupled to the substrate support; a second end, the second end and the bottom of the chamber Coupling; at least one meander, the at least one meander is between the first end and the second end; a first curved portion, the first curved portion extends from the at least one meander to the first end; and a first a second curved portion extending from the at least one meander to the second end, wherein the wide RF ground piece has a slit extending from the first curved portion through the meander into the second Curved part. 如申請專利範圍第7項所述之基材處理室,其中該側壁具有一狹縫閥,且該接地片的至少一部分與該側壁之間的一距離係小於該支撐主體與該側壁之間的一距離。 The substrate processing chamber of claim 7, wherein the sidewall has a slit valve, and a distance between at least a portion of the grounding strip and the sidewall is less than between the support body and the sidewall a distance. 如申請專利範圍第7項所述之基材處理室,其中該寬的RF接地片之寬度係介於約1cm至約10cm之間。 The substrate processing chamber of claim 7, wherein the width of the wide RF ground strip is between about 1 cm and about 10 cm. 如申請專利範圍第9項所述之基材處理室,其中該寬的RF接地片之寬度係約4.7cm。 The substrate processing chamber of claim 9, wherein the width of the wide RF ground strip is about 4.7 cm. 如申請專利範圍第7項所述之基材處理室,其中該接地 片的該第一端包括一耦接於一連接組件之第一安裝凸緣,該連接組件係耦接於該基材支撐件之一下側。 The substrate processing chamber of claim 7, wherein the grounding The first end of the sheet includes a first mounting flange coupled to a connecting component, the connecting component being coupled to a lower side of the substrate support. 如申請專利範圍第11項所述之基材處理室,其中該連接組件自該基材支撐件向外延伸並大致上平行於該接地片中之至少一曲折。 The substrate processing chamber of claim 11, wherein the connecting component extends outwardly from the substrate support and is substantially parallel to at least one of the grounding strips. 如申請專利範圍第1項所述之基材處理室,更包括附著於該腔室主體之一側壁的一停止桿,其中該至少一延伸桿具有適以配對該停止桿之至少一凹口。 The substrate processing chamber of claim 1, further comprising a stop rod attached to a side wall of the chamber body, wherein the at least one extension rod has at least one recess adapted to match the stop rod. 如申請專利範圍第1項所述之基材處理室,其中該基材處理室係一電漿輔助式化學氣相沉積室。 The substrate processing chamber of claim 1, wherein the substrate processing chamber is a plasma-assisted chemical vapor deposition chamber. 如申請專利範圍第1項所述之基材處理室,其中該接地片的該第一端包括一耦接於一連接組件之第一安裝凸緣,該連接組件係耦接於該基材支撐件之一下側。 The substrate processing chamber of claim 1, wherein the first end of the grounding strip includes a first mounting flange coupled to a connecting component, the connecting component being coupled to the substrate support One of the pieces is on the underside. 如申請專利範圍第15項所述之基材處理室,其中該連接組件包括:一L形頂片;及一平坦底板,其中該第一安裝凸緣係置於該L形頂片與該平坦底板之間。 The substrate processing chamber of claim 15, wherein the connection assembly comprises: an L-shaped top sheet; and a flat bottom plate, wherein the first mounting flange is disposed on the L-shaped top sheet and the flat Between the bottom plates. 如申請專利範圍第16項所述之基材處理室,其中該接地片的該第二端包括一第二安裝凸緣,該第二安裝凸緣固定至該腔室底部且在該腔室底部與一底部夾鉗之間。 The substrate processing chamber of claim 16, wherein the second end of the grounding strip includes a second mounting flange fixed to the bottom of the chamber and at the bottom of the chamber Between a bottom clamp and a bottom clamp. 如申請專利範圍第2項所述之基材處理室,其中該側壁與該支撐主體之間的距離係介於約0.2cm至約3cm之間。 The substrate processing chamber of claim 2, wherein the distance between the sidewall and the support body is between about 0.2 cm and about 3 cm. 如申請專利範圍第1項所述之基材處理室,其中該支撐主體具有複數個孔,該複數個孔配置通過該支撐主體且有複數個舉升銷配置於該複數個孔中,且該複數個舉升銷並不通過該寬的RF接地片。 The substrate processing chamber of claim 1, wherein the support body has a plurality of holes, and the plurality of holes are disposed through the support body and a plurality of lift pins are disposed in the plurality of holes, and the plurality of holes are disposed in the plurality of holes A plurality of lift pins do not pass through the wide RF ground lug. 如申請專利範圍第19項所述之基材處理室,其中該接地片自該第一端至該第二端的長度係介於約60cm至約70cm之間。 The substrate processing chamber of claim 19, wherein the length of the grounding strip from the first end to the second end is between about 60 cm and about 70 cm. 如申請專利範圍第20項所述之基材處理室,其中該狹縫離該第一端約10cm至約20cm之間開始,並延伸通過該曲折且離該第二端約10cm至約20cm之間結束。The substrate processing chamber of claim 20, wherein the slit begins between about 10 cm and about 20 cm from the first end and extends through the meander and from about 10 cm to about 20 cm from the second end. The end is over.
TW096148312A 2006-12-20 2007-12-17 Prevention of film deposition on pecvd process chamber wall TWI455192B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61392406A 2006-12-20 2006-12-20
US61393406A 2006-12-20 2006-12-20

Publications (2)

Publication Number Publication Date
TW200834688A TW200834688A (en) 2008-08-16
TWI455192B true TWI455192B (en) 2014-10-01

Family

ID=39563168

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096148312A TWI455192B (en) 2006-12-20 2007-12-17 Prevention of film deposition on pecvd process chamber wall

Country Status (2)

Country Link
TW (1) TWI455192B (en)
WO (1) WO2008079742A2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104513972A (en) * 2014-12-31 2015-04-15 深圳市华星光电技术有限公司 Chemical vapor deposition equipment
WO2020177119A1 (en) * 2019-03-07 2020-09-10 Applied Materials, Inc. Grounding strap design
CN110656321B (en) * 2019-09-16 2021-08-03 武汉华星光电半导体显示技术有限公司 Grounding structure and chemical vapor deposition equipment with same
CN114000192B (en) * 2021-10-29 2023-10-13 北京北方华创微电子装备有限公司 Semiconductor process equipment and method for monitoring wafer position state

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030211757A1 (en) * 2002-05-07 2003-11-13 Applied Materials, Inc. Substrate support with extended radio frequency electrode upper surface
US20050056370A1 (en) * 2001-08-09 2005-03-17 Applied Materials, Inc. Pedestal with integral shield
US20060060302A1 (en) * 2004-09-21 2006-03-23 White John M RF grounding of cathode in process chamber
TW200629668A (en) * 2004-09-29 2006-08-16 Lam Res Corp RF ground switch for plasma processing system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050056370A1 (en) * 2001-08-09 2005-03-17 Applied Materials, Inc. Pedestal with integral shield
US20030211757A1 (en) * 2002-05-07 2003-11-13 Applied Materials, Inc. Substrate support with extended radio frequency electrode upper surface
US20060060302A1 (en) * 2004-09-21 2006-03-23 White John M RF grounding of cathode in process chamber
TW200629668A (en) * 2004-09-29 2006-08-16 Lam Res Corp RF ground switch for plasma processing system

Also Published As

Publication number Publication date
TW200834688A (en) 2008-08-16
WO2008079742A2 (en) 2008-07-03
WO2008079742A3 (en) 2008-10-30

Similar Documents

Publication Publication Date Title
US8381677B2 (en) Prevention of film deposition on PECVD process chamber wall
TWI449121B (en) Substrate support regulating temperature of substrate and uses thereof
US8709162B2 (en) Active cooling substrate support
US6676761B2 (en) Method and apparatus for dechucking a substrate
CN102867726B (en) Plasma confinement ring assemblies, plasma processing device and method for processing semiconductor substrate
TWI584409B (en) Portable electrostatic chuck carrier for thin substrates
US20020134511A1 (en) Substrate supporting table,method for producing same, and processing system
EP0658918B1 (en) Plasma processing apparatus
US20060185795A1 (en) Anodized substrate support
JP5578762B2 (en) Plasma reactor substrate incorporating surface texturing
TW201207975A (en) Confined process volume PECVD chamber
TWI797339B (en) Apparatus for suppressing parasitic plasma in plasma enhanced chemical vapor deposition chamber
CN101481798B (en) Film forming method and film forming device using plasma CVD
TWI455192B (en) Prevention of film deposition on pecvd process chamber wall
KR20210148406A (en) Ground Strap Assemblies
CN109841476A (en) The component and semiconductor manufacturing apparatus of use in semiconductor manufacturing apparatus
KR20070016090A (en) Heating and cooling of substrate support
CN112136202B (en) Apparatus for suppressing parasitic plasma in a plasma enhanced chemical vapor deposition chamber
JP2008244389A (en) Vacuum treatment apparatus, vacuum treatment method, and plasma cvd method
WO2015044295A1 (en) Plasma reactor vessel and assembly, and a method of performing plasma processing
CN112509903A (en) Plasma processing device