CN103403843A - 混合型陶瓷喷淋头 - Google Patents

混合型陶瓷喷淋头 Download PDF

Info

Publication number
CN103403843A
CN103403843A CN2012800117334A CN201280011733A CN103403843A CN 103403843 A CN103403843 A CN 103403843A CN 2012800117334 A CN2012800117334 A CN 2012800117334A CN 201280011733 A CN201280011733 A CN 201280011733A CN 103403843 A CN103403843 A CN 103403843A
Authority
CN
China
Prior art keywords
gas
floral disc
fluid
pottery
distribution device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012800117334A
Other languages
English (en)
Other versions
CN103403843B (zh
Inventor
穆罕默德·萨布里
拉姆吉斯汗·拉奥·林加帕里
卡尔·F·利泽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to CN201611008058.6A priority Critical patent/CN106884157B/zh
Publication of CN103403843A publication Critical patent/CN103403843A/zh
Application granted granted Critical
Publication of CN103403843B publication Critical patent/CN103403843B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning In General (AREA)

Abstract

本发明提供用于衬底处理喷淋头的混合型陶瓷花盘的各种实施方案。混合型陶瓷喷淋头花盘可包括嵌入于该花盘的陶瓷材料内的电极以及穿孔图案。该电极可是相对于穿孔完全封装于该陶瓷材料内。在某些实施方案中,加热器元件也可嵌入于该混合型陶瓷喷淋头花盘内。在使用期间,DC电压源可与该混合型陶瓷喷淋头花盘电气连接。混合型陶瓷花盘可容易地从衬底处理喷淋头拆卸以便易于清洁及花盘更换。

Description

混合型陶瓷喷淋头
相关申请的交叉引用
本申请案根据35U.S.C.§119(e)要求于2011年3月4日提出申请的美国临时专利申请案第61/449,537号及于2012年3月2日提出申请的美国专利申请案第13/411,369号的权利,这些申请案以引用方式并入本文中。
背景技术
喷淋头组件通常用于半导体制作模块中以在沉积、蚀刻或其他工艺期间跨越晶片或衬底的表面分布工艺气体。
喷淋头因磨损而必须经常更换,而定期更换喷淋头对半导体制造商而言在更换部分费用及设备停机时间方面两者都是巨大成本。
某些半导体制作方法减小常用喷淋头的寿命,从而导致需要更频繁地更换。
发明内容
本发明公开了一种混合型陶瓷喷淋头,其包括嵌入式电极。在下文且贯穿本发明中描述了该喷淋头的各种实施方案。应理解,不应将下文所述的实施方案视为将本发明仅限制为所显示的实施方案。相反地,与本文中所概述的原理及概念相符的其他实施方案也可归属于本发明的范畴内。
在某些实施方案中,提供了一种气体分布装置。该气体分布装置可包括用于衬底处理喷淋头的陶瓷花盘(faceplate)。该陶瓷花盘可包括第一图案的第一通孔,该第一图案的第一通孔被配置成当将该陶瓷花盘安装于该衬底处理喷淋头中且将该衬底处理喷淋头安装于衬底处理装置中时跨越衬底分布半导体工艺气体。该陶瓷花盘也可包括包括第二图案的第二通孔的电极。该电极可嵌入于该陶瓷花盘内,该第二图案可匹配该第一图案,且每个第二通孔的大小可大于该对应第一通孔。在某些另外的实施方案中,该陶瓷花盘可被配置成在不需要从衬底处理装置拆卸该衬底处理喷淋头的情形下从该衬底处理喷淋头能拆卸。
在该气体分布装置的某些另外实施方案中,每个第二通孔可具有直径,该直径是该对应第一通孔的直径加上0.04"与该对应第一通孔的该直径中的两倍中的至少较大者。在该气体分布装置的某些另外实施方案中,第一通孔可具有介于0.02"至0.06"的直径。在该气体分布装置的某些另外实施方案中,该第一通孔可具有大约0.05"的直径。
在该气体分布装置的某些另外实施方案中,该电极可以当将该气体分布装置安装于该衬底处理喷淋头中时距背对该衬底处理喷淋头的该陶瓷花盘的面大约0.05"的深度嵌入于该陶瓷花盘内。在该气体分布装置的某些另外实施方案中,该电极可为大约0.002"厚。
在该气体分布装置的某些另外实施方案中,除位于当将该气体分布装置安装于该衬底处理喷淋头中时面向该衬底处理喷淋头的导电板的一侧上的一或多个电接触贴片之外,该电极完全可由陶瓷材料包住。在该气体分布装置的某些另外实施方案中,该气体分布装置可包括一或多个导电路径。该一或多个导电路径可与该一或多个电接触贴片导电接触;且该导电路径中的至少一部分可经曝露以提供与该衬底处理喷淋头的电极功率或接地源连接的导电接触接口。
在该气体分布装置的某些另外实施方案中,该气体分布装置可包括可电气连接至该导电接触接口的DC电压源。在该气体分布装置的某些另外实施方案中,该DC电压源可被配置成供应介于0伏与200伏之间的一或多个DC电压。
在该气体分布装置的某些另外实施方案中,该气体分布装置可包括接触环和一或多个支座(standoff)。该接触环和该一或多个支座可导电,该一或多个支座中的每一者可与该电极的该一或多个电接触贴片中的不同接触贴片导电接触;且每个支座可经由导电路径与该接触环电气连接。另外,该陶瓷花盘可包括一或多个盲支座孔,该盲支座孔各自包括当将该陶瓷花盘安装于该衬底处理喷淋头中时背对该衬底的开口端。每个盲支座孔可通过该电极端接(terminated),且每个盲支座孔可被配置成接纳该一或多个支座的对应支座。
在该气体分布装置的某些另外实施方案中,该气体分布装置也可包括背板。该背板可被配置成与该接触环且与该衬底处理喷淋头的气体分布杆或杆套筒机械连接。该背板可形成从该接触环至该气体分布杆或杆套筒的导电路径。
在该气体分布装置的某些另外实施方案中,该陶瓷花盘可包括机械接口,该机械接口位于该陶瓷花盘的中心附近且被配置成与该衬底处理喷淋头的气体分布杆的互补机械接口配合。当该陶瓷花盘安装于该衬底处理喷淋头中时,该机械接口与该互补机械接口可配合在一起且该气体分布杆经由所配合的机械接口及互补机械接口可支撑该陶瓷花盘的该中心。
在该气体分布装置的某些另外实施方案中,该气体分布装置可包括该气体分布杆及气体分布杆套筒。该气体分布杆可经由滑动接口与该气体分布杆套筒配合,且该滑动接口可包括弹簧,该弹簧约束该气体分布杆相对于该气体分布杆套筒的滑动移动。该气体分布杆套筒及该陶瓷花盘可相对于彼此且相对于沿该滑动接口的行进方向的移动在空间上基本固定,且提供至该陶瓷花盘的该中心的支撑量可通过该弹簧的位移来控制。
在该气体分布装置的某些另外实施方案中,该接触环可包括被配置成将该接触环与该衬底处理喷淋头刚性地连接的接口特征,且支座可相对于该陶瓷花盘支撑该接触环且反之亦然。
在该气体分布装置的某些另外实施方案中,接口特征可选自由如下组成的群组:围绕该接触环的圆周形成的带螺纹接口、围绕该接触环的该圆周形成的卡口座及围绕该接触环的该圆周间隔开的带螺纹紧固件特征的图案。
在该气体分布装置的某些另外实施方案中,该气体分布装置可包括RF套圈。该RF套圈可由导电材料制成且可包括具有直径的薄壁箍环,该直径大于该陶瓷花盘且小于该接触环的内直径。该RF套圈也可包括多个内部套圈片,每个内部套圈片从该薄壁箍环朝向该陶瓷花盘突出,与该陶瓷花盘重叠,且基本上平行于垂直于该薄壁箍环的中心轴线的平面。该RF套圈也可包括多个外部套圈片,每个外部套圈片从该薄壁箍环远离该陶瓷花盘突出,与该接触环重叠,且基本上平行于垂直于该薄壁箍环的该中心轴线的该平面。
在该气体分布装置的某些另外实施方案中,该薄壁箍环可由经配置首尾相连以形成总体箍环形状的一或多个段形成。在该气体分布装置的某些另外实施方案中,每个外部套圈片可定位于围绕该RF套圈的圆周的相邻内部套圈片对之间的大约中间处。在该气体分布装置的某些另外实施方案中,每个内部套圈片可定位于围绕该RF套圈的圆周的相邻外部套圈片对之间的大约中间处。
在该气体分布装置的某些另外实施方案中,该气体分布装置可包括至少一个加热器元件。该至少一个加热器元件可嵌入于该陶瓷花盘内,不与该电极电气接触,循着不与第一通孔中的任一者相交的路径,且维持距每个第一通孔达0.04"和该第一通孔的半径中的至少较大者的最小距离。
在该气体分布装置的某些另外实施方案中,该气体分布装置可包括嵌入于该气体分布装置的陶瓷部分内的加热器元件。该实施方案的该加热器元件可基本上环绕该第一图案的第一通孔且可定位于最紧密靠近该衬底处理喷淋头的最外标称直径处。
在该气体分布装置的某些另外实施方案中,该气体分布装置可包括陶瓷背板。该陶瓷花盘与该陶瓷背板可通过具有与该陶瓷花盘及该陶瓷背板的外直径基本相同的外直径的环形陶瓷壁结合以形成单式花盘/背板。在该单式花盘/背板内可存在喷淋头充气容积,且第一通孔可与该喷淋头充气容积流体接触。该陶瓷背板可包括至少一个机械接口特征,该至少一个机械接口特征基本上围绕第一直径定位,该第一直径基本上小于该单式花盘/背板的外部直径,且该至少一个机械接口特征被配置成将该单式花盘/背板刚性地连接至该衬底处理喷淋头的杆。
在该气体分布装置的某些另外实施方案中,该气体分布装置可包括RF衬垫及密封件。该至少一个机械接口特征可包括围绕该陶瓷背板中的基本上圆形端口定位的带螺纹或卡口座。该密封件可位于该基本上圆形端口的最内直径与该至少一个机械接口特征的最外部直径之间,且该RF衬垫可位于该至少一个机械接口特征的最外部直径与该密封件之间。
在该气体分布装置的某些另外实施方案中,该气体分布装置可进一步包括多个螺栓及密封件。该至少一个机械接口特征可包括带螺纹孔特征的孔图案,每个孔特征被配置成接纳螺栓中的一个。带螺纹孔特征可围绕该陶瓷背板中的基本上圆形端口定位,且该密封件可定位于该孔图案与该基本上圆形端口的最内直径之间。在此实施方案的某些另外实施方案中,该气体分布装置可包括RF接针。该RF接针可与该电极导电接触,从该陶瓷花盘突出且进入至该喷淋头充气容积中,且具有足够长度以当该单式花盘/背板经由该至少一个机械接口特征连接至该杆时以导电方式接触该杆。
在该气体分布装置的某些另外实施方案中,该气体分布装置可进一步包括折流板。该折流板可位于该喷淋头充气容积内,与该陶瓷背板间隔开且相对于该陶瓷背板基本上居中,且具有大于该杆的内部直径的最外部直径。
在某些实施方案中,提供一种衬底处理装置。该衬底处理装置可包括处理室、上文及贯穿本文所描述的气体分布装置及基座。该气体分布装置与该基座可基本位于该处理室内。
在该衬底处理装置的某些另外实施方案中,该气体分布装置的该电极可与DC电压源电气连接且可电气连接至接地阻抗,且该基座中的基座电极可与RF电源电气连接。
在该衬底处理装置的某些另外实施方案中,该衬底处理装置可包括具有第一端及第二端的气体分布杆。该第一端可在该气体分布杆上的该第二端对面且与该处理室的顶部连接。该气体分布杆的该第二端可连接至该气体分布装置。在不从该处理室的该顶部拆卸该气体分布杆的情形下可从该气体分布杆可拆卸该气体分布装置。
在以下附图及说明中陈述了本说明书中所描述的标的物的一或多个实施方案的细节。其他特征、方面、及优点将从该说明、附图及权利要求书中变得显而易见。
附图说明
图1示出了半导体处理室的高层级剖面图。
图2A示出了混合型陶瓷喷淋头的等角剖面图。
图2B示出了具有插入详细视图的混合型陶瓷喷淋头的等角剖面图。
图2B'示出了图2B的插入详细视图。
图2C示出了混合型陶瓷喷淋头的侧视剖面图。
图2D示出了混合型陶瓷喷淋头的等角视图。
图2E示出了图2D中所显示的混合型陶瓷喷淋头,但使用分解图。
图2F示出了具有插入详细视图的陶瓷花盘及接地/电源平面的剖面图。
图2F'示出了图2F的插入详细视图。
图3A及图3A'示出了背板的两个等角视图。
图3B及图3B'示出了连接有杆套筒的背板的两个等角视图。
图3C及图3C'示出了陶瓷花盘组件的两个等角视图。
图3D及图3D'示出了连接有气体分布杆的陶瓷花盘组件的两个等角视图。
图4A至图4J示出了可用于形成本文中所描述的组件的制造工艺的各个阶段。
图5A示出了混合型陶瓷喷淋头的另一实施方案的等角视图。
图5B示出了图5A中所显示的实施方案的示意性分解图。
图5C示出了图5A中所显示的实施方案的示意性剖面图。
图5D及图5E分别示出了图5A中所显示的实施方案的陶瓷花盘组件的仰视图及俯视图。
图5F示出了图5D及图5E的陶瓷花盘组件的等角视图。
图5G示出了图5F的陶瓷花盘组件的等角分解图。
图6示出了混合型陶瓷喷淋头设计的另一实施方案的概念性剖面图。
图7示出了混合型陶瓷喷淋头设计的另一实施方案的概念性剖面图。
图8A至图8C示出了半导体处理室的高层级图示。
图8D显示概述从图8A至图8C的不同电压条件的表格。
图2A至图3D'及图5A至图5G是按比例图式,虽然各图所用精确比例可能不同。这些示意图意图传达实施本文中所公开的技术及装置的若干不同方式,且不应解释为将所公开的材料限制为仅所显示的那些实施方案。
具体实施方式
现在将详细地参考本发明的特定实施方案。在附图中图解说明特定实施方案的实例。虽然将结合这些特定实施例来描述本发明,但应理解,并非意图将本发明限制于这些特定实施方案。相反,此意图涵盖可包括在如权利要求书中所界定的本发明精神及范畴内的替代、修改及等效形式。在以下说明中,阐述了大量特定细节以提供对本发明的彻底理解。可在不具有这些特定细节中的某些或所有的情形下来实践本发明。在其他情况下,未详细地描述常用工艺操作以便不使本发明不必要地模糊。
在半导体处理中,将硬掩膜用作蚀刻停止层。可灰化硬掩膜(AHM)具有在其已发挥作用之后允许通过称作灰化的技术将其移除的化学成分。可灰化硬掩膜通常由具有微量的一或多种掺杂剂(例如,氮、氟、硼、硅)的碳及氢组成。根据沉积条件,这些硬掩膜中的键合结构可从sp2(类石墨)变化为sp3(类钻)或两者的组合。
在典型应用中,在蚀刻之后,硬掩膜已发挥其用途且必须从下覆介电氧化物(例如,SiO2)移除。这通常至少部分地通过也称作“等离子体灰化”或“干式剥离”的灰化来实现。将具有待灰化的硬掩膜的衬底(通常为经部分地制作的半导体晶片)放置于真空下的室中,引入氧且使其经受射频功率,这形成氧自由基(等离子体)。自由基与硬掩膜反应以将其氧化成水、一氧化碳及二氧化碳。在某些情况中,举例而言,当可灰化硬掩膜留下无法通过单独灰化来移除的任何残渣时,可通过在灰化之后的额外湿式蚀刻或干式蚀刻工艺来实现硬掩膜的完全移除。
AHM工艺经常涉及在正处理的晶片附近产生高温,即500℃至650℃。通常用于半导体制作工具中的铝合金(诸如,6000系铝合金)的熔点经常在645℃至660℃的范围中且因此可不适合用于曝露于这些AHM处理温度的部件中。
在美国专利申请第11/318,269号、美国专利申请案第12/048,967号、美国专利申请第11/449,983号、美国专利申请第11/612,382号、美国专利申请第11/710,377号、美国专利申请案第11/849,208号、美国专利申请第12/163,670号、美国专利申请案第12/334,220号、美国专利申请第12/133,223号及美国专利申请第12/786,842号中更详细地描述了AHM工艺,且所有这些美国专利申请皆以引用方式并入。
根据本发明中所描述的实施方案配置的喷淋头在诸如AHM工艺等高温半导体制作工艺中提供增强的效能及更容易的清洁和维护。
AHM以及其他半导体制作工艺通常在反应器(也通常称作处理室或反应器室)内执行。该反应器可在晶片处理期间提供受控环境,且包括可在晶片处理期间所用的各种机械系统及电气系统。在图1中显示了反应器的一个实施方案的高层级概图。反应器100可将晶片105接纳于基座110上,基座110可包括卡盘以用于防止在处理操作期间晶片105移动或不对准。可通过致动器使基座110抬高或降低,以促进装载或从基座110卸载晶片105或在晶片105与喷淋头115之间建立最优选间隔。工艺气体可通过喷淋头115跨越晶片105的表面分布,通过气体入口120进行馈送。可通过使用真空泵或真空源来抽空反应器100内的气体。
图1中所显示的反应器仅是可用于半导体制作工艺中的反应器的一个实施方案。可根据需要添加额外部件,且某些部件并非在所有情形中都是必须的。另外,所显示的结构在各反应器设计之间可显著不同,例如,喷淋头115显示为“枝状吊灯”型喷淋头,但可替代地是“嵌入式安装”型喷淋头。本发明不应解释为被限制为仅所显示和所描述的实施方案,而是通常适用于所有类型的半导体制作工艺及工具。
半导体制作经常需要工艺气体(诸如沉积气体及蚀刻气体)以均匀或受控方式在正经历处理的半导体晶片或衬底上方流动。为此,可使用有时也可称作气体分布器的“喷淋头”气体流歧管来跨越晶片的表面分布工艺气体。工艺气体可从喷淋头流出且跨越晶片分布;该晶片可由容纳该喷淋头的处理室内的基座组件支撑。可通过将该气体流从该喷淋头内部引导至该晶片的气体分布孔图案来实现工艺气体跨越晶片的分布。
许多喷淋头是有限使用寿命部件(LLC)且由于由逐渐更改喷淋头的几何形状和效能的各种工艺步骤(例如,沉积操作或蚀刻操作)引起的喷淋头的性能下降而可能需要定期更换(有限使用寿命部件调换或LLCE)。在LLCE之前通过喷淋头可处理的晶片的数目可不同,通常(举例而言)介于65,000个晶片至100,000个晶片的范围中,虽然某些喷淋头可用于在LLCE之前处理多达600,000个晶片。喷淋头也可在晶片处理操作之间经历周期性清洁循环,这些循环可发生于(举例而言)每25个至100个晶片处理循环时。喷淋头的使用寿命可依赖于诸多因素,包括在处理期间其所曝露至的环境类型、任何清洁操作的频率及半导体制造商的工艺质量要求。
喷淋头的LLCE可因若干因素而是必需的,这些因素包括:在喷淋头充气空间内聚集不期望的微粒、形成于影响等离子体形成的喷淋头的面向晶片的表面中的表面变化、位于喷淋头花盘中的气体分布孔的尺寸变化和影响工艺可控制性及良率的其他因素。
由于在AHM处理期间可遇到比在其他类型的半导体制作中更苛刻的热环境,因而在AHM工艺中使用常规喷淋头可处理的晶片的数目可能更低,举例而言介于10,000与20,000之间。这可导致更频繁的喷淋头更换,从而可导致增加的部件成本及在发生LLCE时丧失的制造机会。以嵌入于陶瓷喷淋头花盘内的RF电极为特征的混合型陶瓷(HC)喷淋头可在AHM背景中以及在可对喷淋头设备造成类似严酷环境条件的其他半导体工艺中提供较长寿命的喷淋头解决方案。
图2A至图2F示出了HC喷淋头200的一个实施方案的各种视图。HC喷淋头200如在图2A及图2B的等角剖面图中所显示可包括背板202、陶瓷花盘组件210及气体分布杆212。背板202可与杆套筒220连接。陶瓷花盘组件210可包括陶瓷花盘214、嵌入式接地/电源平面216(也称作电极)及接触环218。气体分布杆212可横跨杆套筒220与陶瓷花盘组件210之间。对于是“嵌入式安装”型喷淋头的HC喷淋头200的实施方案可省略或显著缩短气体分布杆212。
在各种晶片处理阶段期间,可在其中发生晶片处理的处理室内产生等离子体环境。HC喷淋头200可因此曝露于和/或用于形成等离子体环境。举例而言,在一个配置中,HC喷淋头200或其中的部件可用作用于使等离子体点燃的RF电源。该处理室内的基座或其他结构可用作这种情形中的RF接地。在其他配置中,HC喷淋头200或其中的部件可用作RF接地,且该处理室内的基座或其他结构可用于供应RF功率用于等离子体产生。
可使用等离子体来激活正处理的晶片附近的各种工艺气体。为防止过早地激活工艺气体,即,在通过HC喷淋头200将工艺气体分布于晶片表面上方之前,HC喷淋头200可保护工艺气体不受可在HC喷淋头200本身的容积内诱发等离子体的杂散RF能量的影响。HC喷淋头200可经建构使得在围绕HC喷淋头200的内部容积周围形成法拉第笼(Farady cage)。通过实施法拉第笼,可消除或大大减小来自处理室内的等离子体处理的RF能量在HC喷淋头200的内部容积内电弧放电的可能性。防止HC喷淋头200的内部空间内工艺气体的过早激活可减小HC喷淋头200的工艺气体与内部壁之间的化学反应量。
在图2A至图2G中所示出的实施方案中,可通过在接地/电源平面216、接触环218、背板202及杆套筒220中使用导电材料以在HC喷淋头200内形成法拉第笼。这些结构可被配置成形成使HC喷淋头200的内部不受杂散RF能量影响的连续导电路径。用于分布气体的法拉第笼中的穿孔(诸如气体分布孔222)可经定大小以使得最小化或消除穿过穿孔的RF泄漏。
参照图2A,背板202是基本径向对称部分,其包括盘形背壁204,盘形背壁204在该背壁的周边处转变为圆柱壁206。虽然使用“盘形(disk-like)”和“圆柱壁(cylindrical wall)”来描述这些特征的总体形状,但这些术语意图包括基本上类似的结构,诸如,举例而言,略微锥形或圆锥形的背壁和圆柱壁,以及介于这些结构之间的各种类型的转变表面,诸如斜面及倒圆角曲面/球面。虽然图2A显示在焊缝208处焊接在一起的单独背壁204及圆柱壁206以形成背板202,但背板202也可制造为单个的集成的部分或可由多于两个部件制造。举例而言,背板202可由实心铝坯板机器加工。图3A及图3A'示出了背板202的两个等角视图。焊缝208不会存在于非焊接背板结构中。
参照图2B,背板202的背壁204可包括在背壁204的中心区域中的孔。该孔可经定大小以允许气体分布杆212插入其中,同时防止气体分布杆212的外部表面与该孔的内部边缘之间的环形气体流间隙。该孔也可经定大小以允许将杆套筒220装配至背板202,如在图2B中所显示。该孔可包括台阶或其他特征以促进将杆套筒220装配至背板202。杆套筒220可以焊接、铜焊、扩散接合或以其他方式熔合至背板202。本发明也涵盖其他配置,诸如,其中无气体分布杆212且将气体简单地引入至杆套筒220中的变化形式。图3B及图3B'示出了背板202及杆套筒220的两个等角视图。
杆套筒220的形状可以是基本圆筒形。杆套筒220可包括具有第一内部直径的气体分布区及具有第二内部直径的气体分布杆接口区。该第一内部直径可经定大小以在气体分布杆212的外部表面与杆套筒220的内部表面之间形成环形气体流间隙;该第一内部直径可是与背板202的背壁中的孔的直径大约相同的直径。第二内部直径可经定大小以允许气体分布杆212与杆套筒220的气体分布区之间的滑动啮合。
虽然背板202及杆套筒220可由铝制造,但也可使用其他导电材料。铝尤其适合用于此应用,这是由于其易于机器加工、相对廉价且当曝露于氟时形成氟化铝(AlF3)而不遭受材料腐蚀。
在图2A至图2G中所显示的实施方案中,陶瓷花盘组件210是基本环形碟。如上文所描述的,陶瓷花盘组件210可包括陶瓷花盘214、接地/电源平面216及接触环218。接地/电源平面216可嵌入于陶瓷花盘214内。图2E显示了HC喷淋头200的分解图,其可供针对额外细节的参考。图3C及图3C'示出了陶瓷花盘组件210的两个等角视图。
如在图2B、图2B'及图2E中所显示的,接触环218上的支座支柱244可经由陶瓷花盘214中的支座盲孔246穿过陶瓷花盘214且可经由接触贴片232与接地/电源平面216电接触。接地/电源平面216可使用(举例而言)扩散接合或铜焊在接触贴片232处熔合至支座244。也可使用可建立导电结合的其他等效熔合技术。接触环218上的支座244可与接触环218分离地制造且稍后将其结合至接触环218。举例而言,接触环218可包括被设计用于各自接纳支座支柱244的一或多个孔特征,然后将支座支柱244固定至接触环218。支座支柱244与接触环218的该连接可是永久性的,例如熔合接合或铜焊,或是可反转的,例如螺纹式连接或螺栓。接触环218与支座244可提供RF电源或接地源从气体分布杆212和/或杆套筒220到达该电极的一或多个导电路径。可使用导电接触接口(诸如螺纹、导电特征、RF衬垫或接触接针)来提供导电路径与气体分布杆212和/或杆套筒220之间的电传导。
在图2A至图2F中,接触环218具有基本矩形剖面,虽然也可使用其他剖面。除支座支柱244从其所突出的表面外,接触环218也可包括可配置有经设计以机械方式和电方式将陶瓷花盘组件210连接至背板202的接口特征的外部表面230。举例而言,接触环218的该外部表面可带有螺纹,且背板202的对应内部表面可包括匹配的带有螺纹的特征,从而允许该两个部分之间的螺纹啮合。也可使用其他类型的机械及电气连接;举例而言,可使用卡口型连接或螺栓。
接地/电源平面216及陶瓷花盘214两者可包括图案的小的气体分布孔222。在一项实施方案中,约3000个气体分布孔可跨越接地/电源平面216及陶瓷花盘214分布;两个部分上的孔图案可经设计以对准,虽然接地/电源平面216中的气体分布孔的孔直径可具有比陶瓷花盘214中的对应气体分布孔222大的直径。
图2F显示陶瓷花盘组件210的剖面图;该剖面平行于接地/电源平面216的总体平面且与其相交。使用阴影法来指示接地/电源平面216;未将陶瓷花盘214画影线。插图2F'示出了陶瓷花盘组件210的一部分的近视图。如可见,接地/电源平面216可以孔250为特征,孔250的直径比气体分布孔275的大。这可允许通过陶瓷花盘214来完全封装除接触贴片232(其显示为虚线圆)之外的接地/电源平面216。
在一个实施方案中,陶瓷花盘214中的气体分布孔275的直径可以是0.050",而接地/电源平面216中的对应孔250的直径可以是0.100"。也可使用其他气体分布孔大小,例如直径在0.02"至0.06"的范围中的大小。作为一般规则,接地/电源平面216中的孔250的直径可以是陶瓷花盘214中的对应气体分布孔275的100%或更大,虽然接地/电源平面216中的孔250比陶瓷花盘214中的气体分布孔275的直径大至少0.04"。
气体分布孔275可配置成多种不同配置中的任一种,包括栅格阵列、环形阵列、螺旋形、偏移螺旋形、六角形阵列等。孔配置可导致跨越喷淋头的变化的孔密度。根据所期望的气体流动,可在不同位置使用不同直径的气体分布孔。在图2F中所描绘的实施方案中,气体分布孔275全部都是相同标称直径且使用具有不同直径且具有不同数目的孔的孔圆进行图案化。
气体分布孔275的直径也可贯穿陶瓷花盘214的厚度而变化。举例而言,气体分布孔275在面向背板202的陶瓷花盘214的面上可是第一直径且在气体分布孔275离开陶瓷花盘214的对置侧时可是第二直径。该第一直径可大于该第二直径。不考虑使气体分布孔大小变化的电位,接地/电源平面216中的孔250可是相对于所量测的与接地/电源平面216在同一平面中的陶瓷花盘214中的气体分布孔275的直径而定大小。
在一些实施方案中,除接地/电源平面216之外,在陶瓷花盘214中也可嵌入加热器元件。该加热器元件可不与接地/电源平面216电气接触且可通过从陶瓷花盘214插置陶瓷材料而与接地/电源平面216绝缘。
可使用100VAC至240VAC通过受控闭合循环加热器控制器来给该加热器供电。该加热器控制器可经程序化至预定温度设定点;可经由温度传感器(诸如热电偶)将该温度报告至该加热器控制器,且可关闭及接通该功率来维持该设定点。
图3A及图3A'分别显示背板202的等角视图及倾斜角背侧视图。图3B及图3B'显示与图3A及图3A'中的视图对应的视图,但连接有杆套筒220。图3C及图3C'分别示出了陶瓷花盘组件210的等角视图及倾斜角背侧视图。
如在图2A至图2E中所显示的,气体分布杆212可横跨陶瓷花盘组件210与杆套筒220的气体分布区之间。图3D及图3D'示出了陶瓷花盘组件210及所连接的气体分布杆212的两个等角视图。气体分布杆212的形状可是大体圆柱形且大部分是中空的。气体分布杆212的一个端236可以连接点为特征,该连接点用于连接一或多个工艺气体入口供给线以允许气体流入至该中空区中。气体分布杆212也可以多个孔特征224为特征,该多个孔特征224被配置成允许经由一或多个工艺气体入口供给件引入至气体分布杆212的中空区中的工艺气体逸出至杆套筒220与气体分布杆212的外部表面之间的环形气体流空隙中。多个孔特征224可包括钻通气体分布杆212的直径的孔,且每个孔的中心线可正交于之前的孔。孔可(举例而言)包括6个穿孔,每个穿孔在气体分布杆212的每一侧上包括一个孔,因而总共12个孔。也可使用杆的其他配置,例如不带有内部气体分布杆的杆套筒。
气体分布杆212也可包括用于与陶瓷花盘214连接的接口区域。举例而言,气体分布杆212可在一个端上包括凸缘或台肩,该凸缘或台肩被配置成嵌套(nest)于在背对HC喷淋头200的陶瓷花盘214的面中围绕陶瓷花盘214的中心孔的浅扩孔内侧。气体分布杆212也可以滑动配合或过盈配合的形式与陶瓷花盘214的中心孔的侧壁啮合。
气体分布杆212也可包括偏置器件,该偏置器件被配置成抵消因温度效应所致的陶瓷花盘214的潜在下垂或翘曲。该偏置器件可是弹簧,诸如图2A中的弹簧201。
气体分布杆212也可与接地/电源平面216电气连接用于提供额外的或替代的从陶瓷花盘组件210至整个接地源或电源的导电路径。
如上文所述,接地/电源平面可嵌入于陶瓷花盘内。该嵌入(举例而言)可通过在数个阶段使用机器加工、烧结、扩散接合和/或铜焊工艺形成陶瓷花盘来实现。图4A至图4J示出了在各制造阶段期间陶瓷花盘组件410的剖面图。方便起见,用共享后两个共同数字的编号来列举类似于图2A至图2F中所显示的结构的结构,也即,图2A至图2F中的陶瓷花盘214类似于图4A至图4J中的陶瓷花盘414。此惯例仅为使读者容易参考而不视为以任何方式限制。
图4A示出了在组装之后陶瓷花盘组件410的剖面图。图4B至图4J示出了贯穿各制造阶段的图4A中所显示的部件。
图4B显示了处于该制造工艺的早期阶段中的陶瓷花盘414;连同各种气体分布孔422及用于气体分布杆的中心孔(参见图4I及图4J),陶瓷材料可形成该花盘的总体形状,例如,碟。可通过均衡地将粉末形式的陶瓷材料按压成期望的大体形状、随后通过对该大体形状的绿色机器加工来形成该早期阶段的陶瓷花盘414。该经机器加工的大体形状可然后根据需要进行烧结和机器精加工。这些工艺或类似工艺也可用于下文关于接地/电源平面416概述的随后处理阶段或随后的陶瓷花盘414的形成中。
在经部分形成的陶瓷花盘414的顶部表面上,可形成接地/电源平面凹座452。接地/电源平面凹座452在某些实施方案中可经定位以使得接地/电源平面416的顶部(即,距晶片处理区域最远的接地/电源平面416的平面)距陶瓷花盘414的外表面(即距晶片处理区域最近的陶瓷花盘414的表面)大约0.050"。也可使用其他接地/电源平面至花盘偏移距离,例如,距陶瓷花盘的面近达0.02"的距离。
图4C示出了处于图4B中所显示的同一阶段的陶瓷花盘414,虽然现在已在接地/电源平面凹座452中形成接地/电源平面416。接地/电源平面416可以是例如0.002"厚,虽然也可设想其他厚度。
在于部分形成的陶瓷花盘414中嵌入接地/电源平面416之后,可通过添加额外的陶瓷材料来封装接地/电源平面416。如在图4D中所显示的,除可经由支座通孔446曝露的接地/电源平面416的部分之外,可通过陶瓷材料完全封装接地/电源平面416。气体分布孔422可经形成而具有不同直径,如在图4D中所显示的。然而,气体分布孔422也可是单个直径。选用加热器凹座454可形成于经进一步形成的陶瓷花盘414的顶部表面中。可通过在接地/电源平面416上方沉积陶瓷材料的额外烧结步骤进行该封装,或可通过在部分形成的陶瓷花盘414与然后可使用陶瓷材料的扩散接合、铜焊或热喷涂而接合至该经部分形成的陶瓷花盘414的陶瓷花盘414的对应且单独形成的部分之间夹入接地/电源平面416来进行该封装。
图4E示出了其中可将电阻加热器元件456的材料嵌入于加热器凹座454内的选用处理步骤。加热器元件456是选用的且某些HC喷淋头可不包括加热器元件456或加热器凹座454。加热器元件可采取安放于或形成于陶瓷花盘中的沟道或凹座上/内的蛇形线或迹线的形式。加热器元件可采用迂回路线遍布陶瓷花盘。也可存在嵌入于花盘内的多个加热器元件,从而允许单独控制。在某些实施方案中,可存在多个嵌入于花盘内的加热器元件,加热器元件具有共同端点且并行操作。该(等)加热器元件可由具有充分电阻的导电材料制成以在电流穿过加热器元件时产生热。该加热器元件也可由具有类似于陶瓷的CTE的CTE的材料制成,该加热器元件嵌入于花盘内以避免热膨胀问题。例如,钨或钼可适合用作加热器元件材料。
加热器元件可由各种材料制成,诸如,具有非常接近于所用陶瓷的热膨胀系数的热膨胀系数的导电材料。例如,可将钨及钼用于某些加热器元件。
图4F显示在接纳最后陶瓷材料层之后的陶瓷花盘414。除接地/电源平面416及选用加热器元件456的导电接触路径的可能部分外,接地/电源平面416和选用加热器元件456两者可由陶瓷花盘414的陶瓷材料完全封装。可以类似于用于封装接地/电源平面416的方式实施该封装。陶瓷花盘414可(例如)在烧结完成时可标称地是0.260"(英寸)。
图4G示出了正降低在陶瓷花盘414的顶部上的接触环418;支座444可插入至支座通孔446中以接触接地/电源平面416。支座444然后可接合至区458中的接地/电源平面416,如在图4H中所显示的。可在接触环418的主体与陶瓷花盘414之间形成间隙,例如0.040",以允许陶瓷花盘414的热膨胀而不在区458中诱发过度应力。
图4I示出了将气体分布杆412插入至陶瓷花盘组件410中。图4J示出了具有气体分布杆412的经完全组装的陶瓷花盘组件410。
陶瓷花盘组件210或410中以及本文中所描述的其他陶瓷花盘中所包括的组件可由各种材料制造。
陶瓷花盘214或414可由氧化铝(Al2O3)或氮化铝(AlN)、氮化硅(Si3N4)或碳化硅制造。也可使用呈现强耐受氟侵蚀性和在高温(即,500℃至600℃)下的良好尺寸可靠性的其他材料。所用特定陶瓷需要经选择以避免与特定半导体处理应用中所用的工艺气体反应。氮化硼(BN)及氮氧化铝(AlON)是可用于此应用中的陶瓷的另外实例,虽然这些材料可因制造问题而实施起来具有挑战性。
接地/电源平面216或416以及至接地/电源平面216或416的导电路径的元件可(举例而言)由钨或钼制造。可使用具有耐高温性及具有类似于陶瓷花盘材料的热膨胀系数的热膨胀系数的其他导电材料。由于接地/电源平面216或416可嵌入于陶瓷花盘214或414内或受陶瓷花盘214或414保护,因而接地/电源平面216或416无需由耐受氟侵蚀的材料制成。可用保护性涂层(诸如镍镀层)涂布可能未封装于陶瓷花盘214或414内的至接地/电源平面216或416的导电路径的部分,这可防止或减小因工艺气体曝露所致的对导电路径的损坏。也可使用其他保护性涂层,诸如在提高的温度下保持其对腐蚀及氧化的耐受性的贵金属(例如,金、铂、钯或铱)涂层。
电阻加热器元件456可由(举例而言)钨或钼制造。可使用具有耐高温性及具有类似于陶瓷花盘材料的热膨胀系数的热膨胀系数的其他导电材料。由于电阻加热器元件456可嵌入于陶瓷花盘214或416内且受陶瓷花盘214或416保护,因而电阻加热器元件456无需由耐受氟侵蚀的材料制作,虽然电阻加热器元件的部分或通向其的导体若曝露至工艺气体则可能需要用保护性涂层(诸如镍镀层)保护。也可使用其他保护性涂层,诸如在提高的温度下保持其对腐蚀及氧化的耐受性的贵金属(例如,金、铂、钯或铱)涂层。
接触环218或418也可由钨或钼制造;接触环218或418通常可由与接地/电源平面216或416接合兼容且具有类似热膨胀特性的材料制造。
图5A至图5G示出了HC喷淋头的另一实施方案。如在图5A中可见,HC喷淋头500具有类似于图2D中所显示的HC喷淋头200的外形。HC喷淋头500包括背板502,背板502连接至气体分布杆512。入口536允许将工艺气体引入至HC喷淋头500内部。
图5B示出了HC喷淋头500的分解等角视图。拆卸背板螺栓504允许从背板502拆卸接触环518。在拆卸接触环518之后,可释放夹在背板502与接触环518之间的陶瓷花盘组件510。可将背板螺栓504拧至接触环518中的带螺纹孔中。陶瓷花盘组件510可包括RF套圈520,RF套圈520可采用环绕陶瓷花盘514且直径显著大于其厚度(例如,直径约为数英寸或数十英寸,而厚度约为千分之一或百分之一英寸)的薄壁箍环的通常形式。在某些实施方案中,RF套圈520可由经首尾相接地配置以形成标称箍环形状的一或多个段制成。举例而言,RF套圈520可由单个条形成,该单个条被成环而成该条的两个端重叠的箍环形状。在另一实例中,RF套圈520可由四个较短条形成,条中的每一者的端重叠或与相邻条的端紧挨着。外部套圈片526可从RF套圈520突出,使得背板螺栓504穿过外部套圈片526中的孔以在将陶瓷花盘组件510夹入于接触环518与背板502之间时,将外部套圈片526紧固就位。这允许经由外部套圈片526使RF套圈520与背板502电气接触。
图5C示出了HC喷淋头500的等角剖面图。可见,气体分布杆512与介于背板502与陶瓷花盘组件510之间的充气空间流体连通。背板502可以在背板502的外圆周周围延续的环形凹槽532为特征。环形凹槽532可经定大小以免除用于将RF套圈520连接至陶瓷花盘组件510的紧固件(参见图5F及图5G中的花盘螺栓524)且可包括与陶瓷花盘组件510形成轻微、压缩接触的内边缘。陶瓷花盘组件510可包括陶瓷花盘514,陶瓷花盘514可包括嵌入接地/电源平面516。多个气体分布孔522可将该充气空间与HC喷淋头500外部的周围环境流体连接。
图5D及图5E分别示出了陶瓷花盘组件510的仰视图及俯视图。在该描绘的实施方案中,气体分布孔522在陶瓷花盘组件510的大约三分之一直径的区域内形成低密度图案且在陶瓷花盘组件510的剩余区域中形成较高密度图案。也可见标记特征538,在组装期间可使用该标记特征来径向对准陶瓷花盘组件510的部件。
图5F示出了陶瓷花盘组件510的等角俯视图。RF套圈520允许陶瓷花盘514及背板502不同程度地膨胀而不诱发显著的因应变诱发的应力。这可允许将HC喷淋头500用于具有较大热差的环境中而不受到因温度诱发使背板502或陶瓷花盘组件510破裂的危险。可通过RF套圈520调节背板502与陶瓷花盘组件510之间的热膨胀不匹配,RF套圈520可由(举例而言)铝、钛、钼、钨或具有低电阻率、渗透性和/或蠕变(高弹性)性质的其他材料制成。由于RF套圈520的薄度,RF套圈520可在极小负载下显著偏斜,从而允许RF套圈520挠曲而不在背板502或陶瓷花盘组件510中诱发显著应力。内部套圈片530可大致放置于外部套圈片526之间的中途,或反之亦然,以允许RF套圈520的增加的挠曲。由于RF套圈520可能未嵌入于陶瓷花盘514中,因而可用保护性涂层(诸如镍镀层)来涂布RF套圈520。可使用花盘螺栓524及内部套圈片530将RF套圈520连接至陶瓷花盘组件510。也可使用其他保护性涂层,诸如在提高温度下保持其对腐蚀及氧化的耐受性的贵金属(例如,金、铂、钯或铱)涂层。
图5G显示了陶瓷花盘组件510的等角分解图。RF套圈520的总体形状在此实施方案中可见是大的薄壁箍环或环,其中内部套圈片530及外部套圈片526垂直于该箍环中心轴线弯曲。如果需要,则可使用垫圈544及防松垫圈542来加强花盘螺栓524。
陶瓷花盘514可包括嵌入式接地/电源平面(未描绘,这是因为其是嵌入于该陶瓷花盘内),其类似于本发明所公开的嵌入式接地/电源平面。一系列导电支座540可与该嵌入式接地/电源平面导电接触。导电支座540可接合至该嵌入式接地/电源平面,且可用保护性涂层(诸如镍镀层)来涂布。也可使用其他保护性涂层,诸如在提高的温度下保持其对腐蚀及氧化的耐受性的贵金属(例如,金、铂、钯或铱)涂层。可使用(举例而言)铜焊或扩散接合连接将导电支座540(以及与本文中所描述的嵌入式接地/电源平面连接的其他导电元件)接合至嵌入式接地/电源平面。在导电支座540与环形支座540所穿过的孔之间可存在环形间隙。如果存在,则可用保护性涂布材料(诸如镍)填充此间隙。也可使用其他填充材料,诸如在提高的温度下保持其对腐蚀及氧化的耐受性的贵金属(例如,金、铂、钯或铱)。在以经铜焊至嵌入式接地/电源平面的导电支座540为特征的某些实施方案中,该间隙填充材料可与所用的铜焊材料相同。这可密封该导电支座/嵌入式接地/电源平面接口,且防止该嵌入式接地/电源平面受到工艺气体侵蚀。在图5G中,未显示此保护性间隙填料,虽然可见该环形间隙且将需要施加该保护性间隙填料。在LLCE期间,包括陶瓷花盘514及RF套圈520的陶瓷花盘组件510可经拆卸及调换得到新单元。
图6示出了HC喷淋头的另一实施方案的简化剖面图。HC喷淋头600以单式花盘/背板658为特征,单式花盘/背板658可由陶瓷(例如,氧化铝)制成。单式花盘/背板658可包括类似于上文所描述的结构,例如单式花盘/背板658可包括花盘部分、背板部分及使该两个部分结合的环形外部壁。这些部分中的某些可制造为单个件且然后在制造期间(例如)经由扩散接合结合至其他部分。可在单式花盘/背板658内包围喷淋头充气容积。
单式花盘/背板658的花盘部分可包括图案的气体分布孔622,且以嵌入于该陶瓷件内的嵌入式接地/电源平面616(类似于本文中所描述的其他实施方案的在花盘中的嵌入式地/电源平面)为特征。多个导电通孔654可将嵌入式接地/电源平面616连接至嵌入于单式花盘/背板658的背板部分内的导电路径652。导电通孔654也可嵌入于单式花盘/背板658的陶瓷部分内。
由(举例而言)铝制成的气体分布杆612可与单式花盘/背板658连接。气体分布杆612可经由(举例而言)机械接口(诸如带螺纹接口650)连接至单式花盘/背板658。也可使用其他机械接口特征,诸如卡口型接口。端口(即,穿过单式花盘/背板的背板部分的一或多个穿孔)可提供从气体分布杆612至喷淋头充气容积的流体流动路径。该端口可是基本上圆形的单个开口,或可是大体配合于标称圆形区内的开口群。在其他背板部分或背板中可发现类似端口。RF衬垫646可经提供用于嵌入在单式花盘/背板658内的导电路径652与气体分布杆612之间的电气接触。施加至气体分布杆612的功率(或接地)可因此转移至嵌入式接地/电源平面616。o形环密封件648可防止工艺气体经由带螺纹接口650泄漏。折流板634(例如,圆形或近圆形板)可定位于单式花盘/背板658的充气容积内且可帮助均匀地分布输送至该充气的工艺气体。该折流板可通过一或多个支座与背板间隔开。电阻加热器元件656可围绕单式花盘/背板658的周界嵌入于单式花盘/背板658内;此加热器元件可嵌入于导电通孔654内部或外部。单式花盘/背板658可需要由多个件制作,该多个件然后结合(例如,经由扩散接合)在一起以形成最终部件。举例而言,由于折流板634太大无法穿过单式花盘/背板658的基础板部分中的孔配合,因而可在完成组装单式花盘/背板658之前,安装折流板634。在将折流板634安装至单式花盘/背板658的背板部分上之后,可将单式花盘/背板658的花盘部分接合至该背板部分,从而将该折流板密封在单式花盘/背板658内。
CH喷淋头600可减小受到热膨胀问题的危险且可提供与某些其他HC喷淋头设计相比增强的RF传输路径。举例而言,由于单式花盘/背板658与气体分布杆612在带螺纹接口650处结合的事实,且螺纹接口650相对小的直径,因而气体分布杆612与单式花盘/背板658之间因不同的热膨胀性质(例如,如果单式花盘/背板658是由氧化铝制成的,且气体分布杆是由铝制成的)所致的应变不匹配可导致该两个部分之间显著较小的相对位移,从而可显著减小热应力且减小受到温度诱发的破裂危险。在此设计中,可不需要类似于RF套圈520的部件,这是由于与位于单式花盘/背板658的外边缘附近的接口相比,气体分布杆612与单式花盘/背板658之间的热膨胀位移可显著减小。
图7示出了以单式花盘/背板为特征的另一HC喷淋头。HC喷淋头700可包括单式花盘/背板758,其可类似于图6中所显示的单式花盘/背板614。举例而言,单式花盘/背板758可包括类似于图6中的折流板634配置的折流板734。在此特定实施方案中,单式花盘/背板758与单式花盘/背板614相比也包括某些额外特征(且省略另外一些特征)。举例而言,杆712可经由具有螺栓分布圆的凸缘连接至单式花盘/背板758。杆螺丝764可经由单式花盘/背板758中的带螺纹孔将杆712紧固至单式花盘/背板758。o形环密封件748可用于防止经由杆712输送至HC喷淋头700的工艺气体经由该凸缘接口泄漏且侵蚀杆螺丝764。
单式花盘/背板758的花盘部分可包括图案的气体分布孔722以及嵌入式接地/电源平面716,嵌入式接地/电源平面716可以类似于本文中所描述的其他嵌入式接地/电源平面的方式嵌入。嵌入式接地/电源平面716可经由RF竖片(riser)或接针762与杆712导电接触,RF竖片或接针762可接合至嵌入式接地/电源平面716。单式花盘/背板758的花盘部分也可包括类似于图4E中的嵌入式电阻加热器元件456的嵌入式加热器元件760。
图8A至图8C显示了半导体处理装置800的高层级图示。室810配备有与喷淋头830及基座840连接(interface)的接口820。晶片880可由基座840支撑。图8A示出了在喷淋头中不利用嵌入式电极的半导体处理装置的实施方案,此实施方案可代表当前使用中的许多处理装置。
在图8A中,喷淋头830可是标准、非嵌入式电极喷淋头,且可与RF源885连接以形成阴极。基座840可充当阳极且与接地阻抗890连接,出于此描述的目的,接地阻抗890可是零(虽然在实际实施方案中,可使用非零接地阻抗值)。在此配置中,若RF源885提供大约100V的eSH且若将基座840保持为大约5V的ePED,则等离子体电位eP可大约是10V且晶片电压eW可大约是7V。
在图8B中,将基座840与RF源885连接以形成阴极;图8B中的基座840可以以嵌入式电极860为特征。喷淋头830可充当阳极且可与接地阻抗890连接;对于此描述,接地阻抗890可如上文所描述的是零。图8B中所显示的配置可代表某些当前AHM室。在这些实施方案中,可通过将RF功率从RF源885供应至基座840以将等离子体850保持在约10V的eP下,且将晶片保持在-70V的电位eW下。
在图8C中,喷淋头830配备有嵌入式电极870(嵌入式接地/电源平面),诸如在本文中上文所描述的电极。在该所描绘的配置中,喷淋头830充当阳极且经由接地阻抗890接地至室810。基座840如在图8B中包括嵌入式电极860,嵌入式电极860连接至RF源885。在目前为止所描述的图8C的情形中,等离子体电位eP大约是-10V,晶片电位eW大约是-90V。然而,如果将DC电压源eZ施加至嵌入式电极870,则等离子体电位eP可移位至大约10V,且晶片电位eW可移位至大约-70V。这使与在当前所用设备(诸如图8B中所显示的设备)中所达到的电位相关,且允许将HC喷淋头用于当前在使用的工艺中而无需再评定工艺的资格。可使用能够供应高达约+200V的DC电压源来提供用于宽范围的室几何结和HC喷淋头设计的这样的偏置。举例而言,室壁与HC喷淋头之间的分离距离可影响可能需要的DC电压偏置的量。
图8D概述了图8A至图8C的系统中的不同点处的不同电压。第一列包括电位eZ,其对应于接地(0)或对应于施加DC电压源(20)。第二列包括电位eP,其对应于等离子体电位。第三列包括晶片处的电位eW,且第四列列出等离子体/晶片电位差eP-eW。如可见,将DC电压添加至图8C中所显示的喷淋头电极致使工艺电位条件(从晶片/等离子体观点)在很大程度上镜像使用图8B的装置产生的电位条件。可在如下文献中发现对半导体处理室中的电极与等离子体的相互作用的进一步描述:举例而言,B.Chapman的“GLOW DISCHARGE PROCESSES:SPUTTERING ANDPLASMA ETCHING”,162,(John Wiley&Sons,1980);H.R.Koenig和L.I.Maissel的“APPLICATION OF RF DISCHARGESTO SPUTTERING”,14IBM Journal of ResearchDevelopment168(1970);以及J.W.Coburn和Eric Kay的43Journal of Applied Physics4965(1972),文献的全文以引用方式并入。
由于HC喷淋头中的陶瓷花盘组件(或某些实施方案中的单式花盘/背板)是能拆卸的而非是密封(例如,完全焊接)单元,因而可进入该HC喷淋头的内部空间以供清洁。
在清洁操作期间,可从工具拆卸HC喷淋头且拆卸陶瓷花盘。一旦拆卸陶瓷花盘,即可从喷淋头的内部容积容易地移除微粒物质。可以超声波方式清洁陶瓷花盘本身。理论上可无限数目次地重新使用喷淋头本身,虽然可需要定期更换陶瓷花盘组件。
本文中上文所描述的装置/方法可结合光刻图案化工具或工艺来使用,举例而言用于制作或制造半导体器件、显示器、LED、光伏板及诸如此类。通常,虽然未必,但将在共同制作设施中一起使用这些工具或执行这些工艺。膜的光刻图案化通常包含以下步骤中的某些或所有,每个步骤都用若干可能工具实现:(1)使用旋涂或喷涂工具在工件(即衬底)上施加光刻胶;(2)使用热板或火炉或UV固化工具来固化光刻胶;(3)使用工具(诸如晶片步进机)使该光刻胶曝露至可见光或UV或x射线光;(4)使该光刻胶显影以便使用工具(诸如湿式台)选择性地移除光刻胶且从而将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将该光刻胶图案转印至下伏膜或工件上;及(6)使用工具(诸如RF或微波等离子体光刻胶剥离机)移除该光刻胶。此外,所公开的方法可实施于其中光刻和/或图案化工艺在所公开的方法之前或之后的工艺中。
在某些实施方案中,该HC喷淋头可安装于反应器中且链接至具有用于控制工艺操作的指令的系统控制器。该系统控制器将通常包括一或多个存储器器件及被配置成执行指令的一或多个处理器以便该装置将执行各种半导体制作工艺。含有用于控制工艺操作的指令的机器可读介质可耦合至该系统控制器。处理器可包括CPU或计算机且可包括一或多个模拟和/或数字输入/输出连接件、步进机马达控制器板等或与一或多个类比和/或数位输入/输出连接件、步进机马达控制器板等通信连接。该系统控制器(举例而言)可被配置成控制至喷淋头的气体输送、基座移动、从该反应器抽空气体的真空端口抽吸、等离子体电极的功率及频率和/或加热元件及冷却元件(如果在一特定实施方案中存在的话)。
通常,将存在与该系统控制器相关联的用户界面。该用户界面可包括显示幕、该装置和/或工艺条件的图形软件显示器及诸如指向器件、键盘、触摸屏、麦克风等用户输入器件。该系统控制器可连接至工具或模块中所显示的部件中的任一者或所有,包括本发明的各图中所显示的部件;该系统控制器的放置及连接可基于特定实施方案变化。
在某些实施方案中,该系统控制器控制处理室中的压强。该系统控制器也可通过调节阀、液体输送控制器及输送系统中的MFC以及排气管道中的流动调节阀来控制该室中各种工艺气体的浓度。该系统控制器执行包括用于控制时序、气体及液体的流动速率、室温度、室/喷淋头/基座/衬底温度和/或特定工艺的其他参数(例如电极RF及DC电压)的指令集的系统控制软件。在某些实施方案中可采用储存于与该控制器相关联的存储器器件上的其他计算机程序。在某些实施方案中,该系统控制器控制将衬底传送出入于附图中所显示的各种装置。
可用(举例而言)任何的传统电脑可读程序语言,举例而言,汇编语言、C、C++、Pascal、Fortran或其他语言来撰写用于控制工艺序列中的工艺的计算机程序码。由该处理器来执行所编译的目标代码或脚本以执行该程序中所识别的任务。可以诸多不同方式设计或配置该系统软件。举例而言,可撰写各种室部件子程序或控制对象来控制执行所描述的工艺所必需的室部件的操作。用于此目的的程序或程序段的实例包括工艺气体控制码、压强控制码及等离子体控制码。
控制器参数与诸如(举例而言)每个操作的时序、该室内部的压强、衬底温度、工艺气体流动速率、RF功率等工艺条件以及上文所描述的其他工艺条件相关。这些参数以配方的形式提供至使用者且可利用用户界面输入。可通过该系统控制器的模拟和/或数字输入连接件提供用于监控该工艺的信号。用于控制该工艺的信号在该装置的模拟和数字输出连接件上输出。
虽然本文已参照附图来详细描述本发明的数个实施方案,但应理解,本发明并不限于这些精确实施方案,而是在不脱离权利要求书中所限定的本发明的主旨的范畴的情况下,熟练的技术人员可在实施方案中作出各种改变和修改。

Claims (31)

1.一种气体分布装置,其包含:
陶瓷花盘,其用于衬底处理喷淋头,该陶瓷花盘包括第一图案的第一通孔,该第一图案的第一通孔被配置成当将该陶瓷花盘安装于该衬底处理喷淋头中且将该衬底处理喷淋头安装于衬底处理装置中时跨越衬底分布半导体工艺气体;
电极,其包括第二图案的第二通孔,其中:
该电极嵌入于该陶瓷花盘内,
该第二图案匹配该第一图案,以及
每个第二通孔的大小大于对应第一通孔。
2.如权利要求1所述的气体分布装置,其中该陶瓷花盘被配置成在不需要从衬底处理装置拆卸该衬底处理喷淋头的情形下从该衬底处理喷淋头能拆卸。
3.如权利要求1所述的气体分布装置,其中每个第二通孔具有为如下各项中的至少较大者的直径:
该对应第一通孔的直径加上0.04",以及
该对应第一通孔的该直径的两倍。
4.如权利要求1所述的气体分布装置,其中该第一通孔具有大约0.05"的直径。
5.如权利要求1所述的气体分布装置,其中该第一通孔具有介于0.02"至0.06"之间的直径。
6.如权利要求1所述的气体分布装置,其中该电极嵌入于该陶瓷花盘内在当将该气体分布装置安装于该衬底处理喷淋头中时距背对该衬底处理喷淋头的该陶瓷花盘的面大约0.05"的深度处。
7.如权利要求1所述的气体分布装置,其中该电极的厚度是大约0.002"。
8.如权利要求1所述的气体分布装置,其中除定位于当将该气体分布装置安装于该衬底处理喷淋头中时面向该衬底处理喷淋头的导电板的一侧上的一或多个电接触贴片之外,该电极完全由该陶瓷材料包围。
9.如权利要求8所述的气体分布装置,其进一步包含一或多个导电路径,其中:
该一或多个导电路径与该一或多个电接触贴片导电接触,且
该导电路径的至少一部分被曝露以提供与该衬底处理喷淋头的电极电源或接地源的导电接触接口。
10.如权利要求9所述的气体分布装置,其进一步包含DC电压源,该DC电压源电气连接至该导电接触接口。
11.如权利要求10所述的气体分布装置,其中该DC电压源被配置成供应介于0伏与200伏之间的一或多个DC电压。
12.如权利要求8所述的气体分布装置,其进一步包含接触环及一或多个支座,其中:
该接触环和该一或多个支座是导电的,
该一或多个支座中的每一个与该电极的该一或多个电接触贴片中的不同的接触贴片导电接触,
每个支座经由导电路径与该接触环电气连接,且
该陶瓷花盘包括一或多个盲支座孔,其中:
每个盲支座孔包括当将该陶瓷花盘安装于该衬底处理喷淋头中时背对该衬底的开口端,
每个盲支座孔是通过该电极端接的,且
每个盲支座孔被配置成接纳该一或多个支座中的对应支座。
13.如权利要求12所述的气体分布装置,其进一步包含背板,其中:
该背板被配置成与该接触环机械连接,
该背板被配置成与该衬底处理喷淋头的气体分布杆或杆套筒机械连接,且
该背板形成从该接触环至该气体分布杆或杆套筒的导电路径。
14.如权利要求12所述的气体分布装置,其中:
该陶瓷花盘包括机械接口,该机械接口定位于该陶瓷花盘的中心附近且被配置成与该衬底处理喷淋头的气体分布杆的互补机械接口配合,且
当将该陶瓷花盘安装于该衬底处理喷淋头中时,该机械接口与该互补机械接口配合在一起,且该气体分布杆经由该所配合的机械接口与互补机械接口支撑该陶瓷花盘的该中心。
15.如权利要求14所述的气体分布装置,其进一步包含该气体分布杆及气体分布杆套筒,其中:
该气体分布杆经由滑动接口与该气体分布杆套筒配合,
该滑动接口包括弹簧,该弹簧约束该气体分布杆相对于该气体分布杆套筒的滑动移动,
该气体分布杆套筒及该陶瓷花盘相对于彼此且相对于沿滑动接口行进方向的移动在空间上基本固定,且
提供至该陶瓷花盘的该中心的支撑量通过该弹簧的位移来管控。
16.如权利要求12所述的气体分布装置,其中:
该接触环包括被配置成刚性地连接该接触环与该衬底处理喷淋头的接口特征,且
该支座相对于该陶瓷花盘支撑该接触环且反之亦然。
17.如权利要求16所述的气体分布装置,其中该接口特征是选自由以下各项组成的群组:围绕该接触环的圆周形成的带螺纹接口、围绕该接触环的该圆周形成的卡口座及围绕该接触环的该圆周间隔开的带螺纹紧固件特征的图案。
18.如权利要求12所述的气体分布装置,其进一步包含RF套圈,其中,该RF套圈是由导电材料制成的且包括:
具有直径的薄壁箍环,该直径大于该陶瓷花盘且小于该接触环的内直径;
多个内部套圈片,每个内部套圈片:
从该薄壁箍环朝向该陶瓷花盘突出,
与该陶瓷花盘重叠,且
基本上平行于垂直于该薄壁箍环的中心轴线的平面;及多个外部套圈片,每个外部套圈片:
从该薄壁箍环远离该陶瓷花盘突出,
与该接触环重叠,且
基本上平行于垂直于该薄壁箍环的该中心轴线的该平面。
19.如权利要求18所述的气体分布装置,其中该薄壁箍环由经首尾相连配置以形成总体箍环形状的一或多个段形成。
20.如权利要求18所述的气体分布装置,其中每个外部套圈片定位于围绕该RF套圈的圆周的相邻内部套圈片对之间的大约中间处。
21.如权利要求18所述的气体分布装置,其中每个内部套圈片定位于围绕该RF套圈的圆周的相邻外部套圈片对之间的大约中间处。
22.如权利要求1所述的气体分布装置,其进一步包含至少一个加热器元件,其中该至少一个加热器元件:
嵌入于该陶瓷花盘内,
不与该电极电接触,
循着不与该第一通孔中的任一者相交的路径,且
维持距每个第一通孔达0.04"及该第一通孔的半径中的至少较大者的最小距离。
23.如权利要求1所述的气体分布装置,其进一步包含嵌入于该气体分布装置的陶瓷部分内的加热器元件,该加热器元件基本上环绕该第一图案的第一通孔且定位于紧密靠近该衬底处理喷淋头的最外标称直径处。
24.如权利要求1所述的气体分布装置,其进一步包含陶瓷背板,其中:
该陶瓷花盘与该陶瓷背板通过具有与该陶瓷花盘及该陶瓷背板的外直径基本相同的外直径的环形陶瓷壁结合以形成单式花盘/背板,
在该单式花盘/背板内存在喷淋头充气容积,
该第一通孔与该喷淋头充气容积流体接触,且
该陶瓷背板包括至少一个机械接口特征,该至少一个机械接口特征:
基本上围绕第一直径定位,该第一直径基本上小于该单式花盘/背板的外直径,且
被配置成将该单式花盘/背板刚性地连接至该衬底处理喷淋头的杆。
25.如权利要求24所述的气体分布装置,其进一步包含RF衬垫及密封件,其中:
该至少一个机械接口特征包括围绕该陶瓷背板中的基本上圆形端口定位的带螺纹或卡口座,
该密封件定位于该基本上圆形端口的最内直径与该至少一个机械接口特征的最外直径之间,且
该RF衬垫位于该至少一个机械接口特征的最外直径与该密封件之间。
26.如权利要求24所述的气体分布装置,其进一步包含密封件及多个螺栓,其中:
该至少一个机械接口特征包括带螺纹孔特征的孔图案,每个孔特征被配置成接纳该螺栓中的一个,
该带螺纹孔特征围绕该陶瓷背板中的基本上圆形端口定位,且
该密封件定位于该孔图案与该基本上圆形端口的最内直径之间。
27.如权利要求26所述的气体分布装置,其进一步包含RF接针,其中该RF接针:
与该电极导电接触,
从该陶瓷花盘突出且进入至该喷淋头充气容积中,且
为足够长以当将该单式花盘/背板经由该至少一个机械接口特征连接至该杆时以导电方式接触该杆。
28.如权利要求24所述的气体分布装置,其进一步包含折流板,其中该折流板:
定位于该喷淋头充气容积内,
与该陶瓷背板间隔开且相对于该陶瓷背板基本上居中,且
具有大于该杆的内直径的最外直径。
29.一种衬底处理装置,其包含:
处理室;
如权利要求1所述的气体分布装置;及
基座,其中该气体分布装置与该基座基本上定位于该处理室内。
30.如权利要求29所述的衬底处理装置,其中:
该气体分布装置的该电极与DC电压源电气连接且电气连接至接地阻抗,且
该基座中的基座电极与RF电源电气连接。
31.如权利要求29所述的衬底处理装置,其进一步包含具有第一端及第二端的气体分布杆,其中:
在该气体分布杆上,该第一端在该第二端对面,
该气体分布杆的该第一端与该处理室的顶部连接,
该气体分布杆的该第二端连接至该气体分布装置,且
该气体分布装置在不从该处理室的该顶部拆卸该气体分布杆的情形下从该气体分布杆能拆卸。
CN201280011733.4A 2011-03-04 2012-03-02 混合型陶瓷喷淋头 Active CN103403843B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201611008058.6A CN106884157B (zh) 2011-03-04 2012-03-02 混合型陶瓷喷淋头

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161449537P 2011-03-04 2011-03-04
US61/449,537 2011-03-04
PCT/US2012/027596 WO2012122054A2 (en) 2011-03-04 2012-03-02 Hybrid ceramic showerhead

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201611008058.6A Division CN106884157B (zh) 2011-03-04 2012-03-02 混合型陶瓷喷淋头

Publications (2)

Publication Number Publication Date
CN103403843A true CN103403843A (zh) 2013-11-20
CN103403843B CN103403843B (zh) 2016-12-14

Family

ID=46752556

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201280011733.4A Active CN103403843B (zh) 2011-03-04 2012-03-02 混合型陶瓷喷淋头
CN201611008058.6A Active CN106884157B (zh) 2011-03-04 2012-03-02 混合型陶瓷喷淋头

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201611008058.6A Active CN106884157B (zh) 2011-03-04 2012-03-02 混合型陶瓷喷淋头

Country Status (7)

Country Link
US (2) US9441296B2 (zh)
JP (1) JP5933602B2 (zh)
KR (2) KR101937115B1 (zh)
CN (2) CN103403843B (zh)
SG (2) SG192967A1 (zh)
TW (2) TWI616949B (zh)
WO (1) WO2012122054A2 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104889111A (zh) * 2014-03-05 2015-09-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种腔室
CN106906453A (zh) * 2015-12-14 2017-06-30 朗姆研究公司 喷头组件
CN108140542A (zh) * 2015-10-04 2018-06-08 应用材料公司 小热质量的加压腔室
CN108861680A (zh) * 2018-07-04 2018-11-23 赵全 一种节能环保的活性焦出料扬尘控制装置
CN109360779A (zh) * 2018-11-13 2019-02-19 深圳市华星光电半导体显示技术有限公司 干蚀刻设备的上电极及其制造方法
CN109536924A (zh) * 2015-05-26 2019-03-29 朗姆研究公司 抗瞬变喷头
CN109817505A (zh) * 2017-11-20 2019-05-28 长鑫存储技术有限公司 等离子体供应装置及晶圆刻蚀装置
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
CN110998793A (zh) * 2017-08-25 2020-04-10 应用材料公司 用于外延沉积工艺的注入组件
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9224626B2 (en) * 2012-07-03 2015-12-29 Watlow Electric Manufacturing Company Composite substrate for layered heaters
JP6068849B2 (ja) * 2012-07-17 2017-01-25 東京エレクトロン株式会社 上部電極、及びプラズマ処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6078450B2 (ja) * 2012-10-26 2017-02-08 日本碍子株式会社 半導体製造装置用部材及びその製法
US20140127911A1 (en) * 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
TWI497589B (zh) * 2012-12-17 2015-08-21 Global Material Science Co Ltd 乾蝕刻反應室腔體之上電極及其製造方法
US20160194753A1 (en) * 2012-12-27 2016-07-07 Showa Denko K.K. SiC-FILM FORMATION DEVICE AND METHOD FOR PRODUCING SiC FILM
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103993293B (zh) * 2013-02-15 2018-06-26 诺发系统公司 带温度控制的多室喷头
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10100408B2 (en) * 2014-03-03 2018-10-16 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102386812B1 (ko) * 2014-05-16 2022-04-15 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9528185B2 (en) * 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10134615B2 (en) * 2015-02-13 2018-11-20 Applied Materials, Inc. Substrate support with improved RF return
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6662998B2 (ja) * 2016-03-03 2020-03-11 コアテクノロジー株式会社 プラズマ処理装置
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI641016B (zh) * 2016-06-28 2018-11-11 周業投資股份有限公司 Upper electrode device
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6910834B2 (ja) * 2017-04-13 2021-07-28 日本特殊陶業株式会社 半導体製造装置用部品の製造方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102366180B1 (ko) * 2017-07-04 2022-02-22 세메스 주식회사 기판 처리 장치
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
TWI815813B (zh) * 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102420164B1 (ko) 2017-09-14 2022-07-12 삼성전자주식회사 기체의 유동 시뮬레이션을 수행하기 위한 컴퓨팅 시스템 및 시뮬레이션 방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
DE102017124456A1 (de) * 2017-10-19 2019-04-25 Heraeus Noblelight Gmbh Beheizbarer Gasinjektor
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) * 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
JP7125262B2 (ja) * 2017-12-19 2022-08-24 日本特殊陶業株式会社 シャワーヘッド用ガス分配体
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
CN110071057A (zh) * 2018-01-24 2019-07-30 应用材料公司 加热的陶瓷面板
US11222771B2 (en) * 2018-02-05 2022-01-11 Applied Materials, Inc. Chemical control features in wafer process equipment
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11084694B2 (en) 2018-03-27 2021-08-10 Samsung Electronics Co., Ltd. Jacking tool and semiconductor process apparatus having the same
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11434568B2 (en) 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190376184A1 (en) * 2018-06-12 2019-12-12 Lam Research Corporation Chemical vapor deposition shower head for uniform gas distribution
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7042170B2 (ja) * 2018-06-22 2022-03-25 日本特殊陶業株式会社 シャワーヘッド用ガス分配体
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
KR102204026B1 (ko) * 2018-07-06 2021-01-18 주식회사 케이에스엠컴포넌트 세라믹 샤워 헤드 및 그를 구비한 화학 기상 증착 장치
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10751549B2 (en) * 2018-07-18 2020-08-25 Kenneth Hogstrom Passive radiotherapy intensity modulator for electrons
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2022525191A (ja) * 2019-03-15 2022-05-11 ラム リサーチ コーポレーション 半導体製造用途における摩擦攪拌接合
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
TW202104656A (zh) 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112922935B (zh) * 2019-12-05 2023-06-30 中微半导体设备(上海)股份有限公司 连接结构和等离子体处理装置
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111243933A (zh) * 2020-02-18 2020-06-05 信利(仁寿)高端显示科技有限公司 一种干法刻蚀设备的上部电极及干法刻蚀设备
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102371435B1 (ko) * 2021-05-03 2022-03-08 주식회사 기가레인 샤워 헤드
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR20240055053A (ko) * 2021-09-02 2024-04-26 램 리써치 코포레이션 합성 세라믹 바디들을 위한 결합 기법들 (joining techniques)
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202339551A (zh) * 2022-03-25 2023-10-01 美商蘭姆研究公司 用於改善沉積厚度均勻性的噴淋頭組件及基板處理系統
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1574229A (zh) * 2003-05-22 2005-02-02 周星工程股份有限公司 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
CN101290869A (zh) * 2007-04-17 2008-10-22 东京毅力科创株式会社 等离子体处理装置和高频电流的短路电路
US20090000743A1 (en) * 2007-06-27 2009-01-01 Tokyo Electron Limited Substrate processing apparatus and shower head
CN101556904A (zh) * 2008-04-10 2009-10-14 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US20110052833A1 (en) * 2009-08-27 2011-03-03 Applied Materials, Inc. Gas distribution showerhead and method of cleaning

Family Cites Families (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (zh) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
JP3147392B2 (ja) 1991-03-04 2001-03-19 宇部サイコン株式会社 熱可塑性樹脂組成物
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
DE59506358D1 (de) 1994-03-29 1999-08-12 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
US5817406A (en) * 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6151203A (en) * 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
WO2001046498A2 (en) 1999-12-22 2001-06-28 Aixtron Ag Chemical vapor deposition reactor and process chamber for said reactor
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
JP3654142B2 (ja) 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
JP3946641B2 (ja) 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
KR100735932B1 (ko) 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
JP5079949B2 (ja) 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US20030070760A1 (en) * 2001-10-15 2003-04-17 Plasmion Corporation Method and apparatus having plate electrode for surface treatment using capillary discharge plasma
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
JP2003271218A (ja) * 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
KR100490049B1 (ko) 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7543546B2 (en) * 2003-05-27 2009-06-09 Matsushita Electric Works, Ltd. Plasma processing apparatus, method for producing reaction vessel for plasma generation, and plasma processing method
JP4763974B2 (ja) * 2003-05-27 2011-08-31 パナソニック電工株式会社 プラズマ処理装置及びプラズマ処理方法
US20070248515A1 (en) 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP2005285846A (ja) * 2004-03-26 2005-10-13 Ibiden Co Ltd プラズマエッチング装置のガス吹き出し板
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
TWI306782B (en) 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
JP5461759B2 (ja) 2006-03-22 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
JP2008047869A (ja) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) * 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101519684B1 (ko) 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
USD590045S1 (en) 2008-01-31 2009-04-07 Hansgrohe Ag Showerhead
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
JP5078792B2 (ja) 2008-07-29 2012-11-21 京セラ株式会社 誘電性構造体、誘電性構造体を用いた放電装置、流体改質装置、および反応システム
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (ko) 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
CN102754190B (zh) 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
KR100936059B1 (ko) * 2009-07-20 2010-01-08 (주)네오세라 반도체 웨이퍼 증착장비용 개스 인젝터 제조방법 및 개스 인젝터
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8840754B2 (en) * 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP5905476B2 (ja) 2010-10-19 2016-04-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Nanocureuvチャンバ用の石英シャワーヘッド
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
WO2012125275A2 (en) 2011-03-11 2012-09-20 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1574229A (zh) * 2003-05-22 2005-02-02 周星工程股份有限公司 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
CN101290869A (zh) * 2007-04-17 2008-10-22 东京毅力科创株式会社 等离子体处理装置和高频电流的短路电路
US20090000743A1 (en) * 2007-06-27 2009-01-01 Tokyo Electron Limited Substrate processing apparatus and shower head
CN101556904A (zh) * 2008-04-10 2009-10-14 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US20110052833A1 (en) * 2009-08-27 2011-03-03 Applied Materials, Inc. Gas distribution showerhead and method of cleaning

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
CN104889111B (zh) * 2014-03-05 2018-05-25 北京北方华创微电子装备有限公司 一种腔室
CN104889111A (zh) * 2014-03-05 2015-09-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种腔室
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN109536924B (zh) * 2015-05-26 2022-04-05 朗姆研究公司 抗瞬变喷头
CN109536924A (zh) * 2015-05-26 2019-03-29 朗姆研究公司 抗瞬变喷头
TWI689353B (zh) * 2015-05-26 2020-04-01 美商蘭姆研究公司 用於半導體處理之設備
US11424137B2 (en) 2015-10-04 2022-08-23 Applied Materials, Inc. Drying process for high aspect ratio features
CN108140542A (zh) * 2015-10-04 2018-06-08 应用材料公司 小热质量的加压腔室
CN106906453B (zh) * 2015-12-14 2020-12-04 朗姆研究公司 喷头组件
CN106906453A (zh) * 2015-12-14 2017-06-30 朗姆研究公司 喷头组件
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN110998793A (zh) * 2017-08-25 2020-04-10 应用材料公司 用于外延沉积工艺的注入组件
CN110998793B (zh) * 2017-08-25 2023-09-05 应用材料公司 用于外延沉积工艺的注入组件
CN109817505A (zh) * 2017-11-20 2019-05-28 长鑫存储技术有限公司 等离子体供应装置及晶圆刻蚀装置
CN109817505B (zh) * 2017-11-20 2021-09-24 长鑫存储技术有限公司 等离子体供应装置及晶圆刻蚀装置
CN108861680A (zh) * 2018-07-04 2018-11-23 赵全 一种节能环保的活性焦出料扬尘控制装置
CN109360779A (zh) * 2018-11-13 2019-02-19 深圳市华星光电半导体显示技术有限公司 干蚀刻设备的上电极及其制造方法

Also Published As

Publication number Publication date
TWI566295B (zh) 2017-01-11
US20160348244A1 (en) 2016-12-01
TW201250827A (en) 2012-12-16
JP5933602B2 (ja) 2016-06-15
CN106884157B (zh) 2019-06-21
US9441296B2 (en) 2016-09-13
KR101843609B1 (ko) 2018-05-14
JP2014509783A (ja) 2014-04-21
TW201709322A (zh) 2017-03-01
TWI616949B (zh) 2018-03-01
US10400333B2 (en) 2019-09-03
KR20140011364A (ko) 2014-01-28
KR101937115B1 (ko) 2019-01-09
SG192967A1 (en) 2013-09-30
SG10201602599XA (en) 2016-05-30
CN103403843B (zh) 2016-12-14
KR20180037284A (ko) 2018-04-11
US20120222815A1 (en) 2012-09-06
CN106884157A (zh) 2017-06-23
WO2012122054A3 (en) 2012-12-27
WO2012122054A2 (en) 2012-09-13

Similar Documents

Publication Publication Date Title
CN103403843A (zh) 混合型陶瓷喷淋头
JP7453149B2 (ja) セラミックベースプレートを備えるマルチプレート静電チャック
CN110998816B (zh) 整体式陶瓷气体分配板
US11984296B2 (en) Substrate support with improved process uniformity
JP2017216441A (ja) 静電チャック接合のための永久二次浸食封じ込め
TWI796249B (zh) 可運動的邊緣環設計
KR20230030669A (ko) 작은 갭을 갖는 핀 리프터 어셈블리
JP2005166354A (ja) セラミックヒーター
CN103311158A (zh) 制程处理部件、基板处理设备以及基板处理方法
JP2017041631A (ja) 静電チャック用の凸形の内面を有する環状エッジシール
CN108428661A (zh) 一种用于真空处理装置的基片承载台及其制造方法
US20230178407A1 (en) Floating pcb design for substrate support assembly
CN115943228A (zh) 分割式喷头冷却板
TW202140841A (zh) 具有斜向流動路徑的氣體分配面板
TWI722257B (zh) 底部和中間邊緣環
TW202141684A (zh) 底部和中間邊緣環
JP2023527630A (ja) 基板処理システムにおける中間リング腐食補償
TW202131447A (zh) 底部和中間邊緣環

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant