JP4186536B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP4186536B2
JP4186536B2 JP2002209052A JP2002209052A JP4186536B2 JP 4186536 B2 JP4186536 B2 JP 4186536B2 JP 2002209052 A JP2002209052 A JP 2002209052A JP 2002209052 A JP2002209052 A JP 2002209052A JP 4186536 B2 JP4186536 B2 JP 4186536B2
Authority
JP
Japan
Prior art keywords
electrode
plasma
plasma processing
silicon wafer
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002209052A
Other languages
English (en)
Other versions
JP2004055703A (ja
Inventor
哲博 岩井
潔 有田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2002209052A priority Critical patent/JP4186536B2/ja
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to CNB038170442A priority patent/CN100429739C/zh
Priority to AU2003243016A priority patent/AU2003243016A1/en
Priority to PCT/JP2003/008930 priority patent/WO2004010456A1/en
Priority to EP03765292A priority patent/EP1537596A1/en
Priority to KR1020057000947A priority patent/KR100949953B1/ko
Priority to US10/621,497 priority patent/US7056831B2/en
Priority to TW092119656A priority patent/TWI289892B/zh
Publication of JP2004055703A publication Critical patent/JP2004055703A/ja
Priority to US11/147,925 priority patent/US20050247404A1/en
Application granted granted Critical
Publication of JP4186536B2 publication Critical patent/JP4186536B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、シリコンウェハなどの半導体基板のプラズマ処理を行うプラズマ処理装置に関するものである。
【0002】
【従来の技術】
半導体装置に用いられるシリコンウェハの製造工程では、半導体装置の薄型化にともない基板の厚さを薄くするための薄化加工が行われる。この薄化加工は、シリコン基板の表面に回路パターンを形成した後に、回路形成面の裏面を機械研磨することによって行われ、研磨加工後には機械研磨によってシリコン基板の研磨面に生成されたダメージ層をエッチングにより除去することを目的として、プラズマ処理が行われる。
【0003】
このプラズマ処理に際しては、シリコンウェハは処理対象面(裏面)を上向きにした姿勢で保持する必要があるため、シリコンウェハは回路形成面側を基板載置部の載置面に向けた姿勢で保持される。このとき、回路形成面には回路が直接載置面に接触してダメージを受けるのを防止する目的で保護テープが貼着される。
【0004】
【発明が解決しようとする課題】
このようなシリコンウェハを保持する方法として、静電吸着による方法が知られている。この方法は、導電体の表面が薄い絶縁層で覆われた基板載置部にシリコンウェハを載置し、導電体に直流電圧を印加して基板載置部の表面を静電吸着面とし、シリコンウェハと絶縁層の下の導電体との間にクーロン力を作用させることによってシリコンウェハを基板載置部に保持するものである。
【0005】
ところが、前述の保護テープが貼着された状態のシリコンウェハを静電吸着によって保持する場合には、クーロン力は絶縁層に加えて絶縁性の保護テープを介在させた状態で作用するため、保護テープを介さずに直接シリコンウェハを静電吸着面に密着させた場合と比較して静電吸着力が低く十分な保持力が得られない場合があった。
【0006】
また、基板載置部の全面が絶縁層で覆われていることから、シリコンウェハを載置した状態においてシリコンウェハは基板載置部の導電体と直接接触せず、シリコンウェハから基板載置部への熱伝導率が低い。このためプラズマ処理時にシリコンウェハから効率よく熱を奪って冷却することが難しい。そして基板が過度に昇温すると、基板に貼着された保護テープが熱ダメージを受けるため、プラズマ処理条件の設定においては、基板載置部の基板冷却能力に制約されてプラズマ処理時の電源出力を低く設定せざるを得なかった。このように、絶縁層を有する半導体基板を処理対象とする場合には、十分な静電保持力を確保しながら冷却効率を向上させることが難しく、高エッチングレートのプラズマ処理を実現することが困難であった。
【0007】
そこで本発明は、半導体基板を十分な静電保持力で保持するとともに、半導体基板の冷却効率を向上させることができるプラズマ処理装置を提供することを目的とする。
【0008】
【課題を解決するための手段】
請求項1記載のプラズマ処理装置は、回路形成面に静電吸着する際の誘電体として機能する保護テープを有する半導体基板を処理室内に収容してこの半導体基板の前記回路形成面の裏面をプラズマ処理するプラズマ処理装置であって、導電体で形成され且つこの半導体基板の外形よりも大形の上面を有する電極と、この電極を冷却する冷却手段と、前記半導体基板を前記保護テープを前記電極の上面に接触させて静電吸着によって保持するために前記電極に直流電圧を印加する直流電源部と、前記処理室内を減圧する減圧手段と、前記処理室内にプラズマ発生用のガスを供給するプラズマ発生用ガス供給部と、前記電極に高周波電圧を印加して前記処理室内にプラズマを発生させる高周波電源部を備え、前記電極の上面は、前記半導体基板の外形位置よりも所定幅だけ内側の境界線から内側に設けられ導電体が上面に露呈した上面中央部と、この上面中央部を環状に取り囲んで設けられ導電体が絶縁被覆層によって覆われた上面外周部と、前記半導体基板を真空吸着する吸着孔を有し、前記絶縁被覆層の外縁部を環状に覆う絶縁部を備えた。
【0009】
請求項2記載のプラズマ処理装置は、請求項1記載のプラズマ処理装置であって、前記外形位置から前記境界線までの範囲において、電極の上面に保持された半導体基板の絶縁層の外縁部と前記絶縁被覆層とが接触する。
【0011】
請求項記載のプラズマ処理装置は、請求項1記載のプラズマ処理装置であって、前記絶縁被覆層が、前記電極の側面まで形成されている。
【0012】
請求項記載のプラズマ処理装置は、請求項1記載のプラズマ処理装置であって、前記絶縁被覆層がアルミナである。
【0016】
本発明によれば、基板を静電吸着によって保持する電極の上面を、基板の外形位置よりも所定幅だけ内側の境界線から内側に設けられ導電体が上面に露呈した上面中央部と、この上面中央部を環状に取り囲んで設けられ導電体が絶縁被覆層によって覆われた上面外周部で構成することにより、半導体基板を十分な静電保持力で保持するとともに、基板を電極に密着させて基板の冷却効率を向上させることができる。
【0017】
【発明の実施の形態】
次に本発明の実施の形態を図面を参照して説明する。図1は本発明の一実施の形態のプラズマ処理装置の側断面図、図2は本発明の一実施の形態のプラズマ処理装置の第1電極の側断面図、図3、図4は本発明の一実施の形態のプラズマ処理装置の側断面図、図5は本発明の一実施の形態のプラズマ処理装置の平断面図、図6、図7は本発明の一実施の形態のプラズマ処理装置の部分断面図である。
【0018】
まず図1を参照してプラズマ処理装置の構成について説明する。図1において、真空チャンバ1の内部はプラズマ処理を行う処理室2となっており、減圧下でプラズマを発生させるための密閉された処理空間が形成可能となっている。処理室2は円筒形状となっており(図6参照)、処理室2の内部には、第1電極3(電極)および第2電極4が上下に対向して配設されている。第1電極3および第2電極4はそれぞれ円筒形状であり、処理室2内において同心配置となっている。
【0019】
第1電極3は、処理室2の底部を埋める形で装着された2層の絶縁体5A,5Bに周囲を取り囲まれ、処理室2の底の中央部に処理対象物を保持する上面を露呈させて固定された状態で配設されている。第1電極3はアルミニウムなどの導電体によって製作されており、円盤状の電極部3aから下方に支持部3bを延出させた形状となっている。そして支持部3bを絶縁部材5Cを介して真空チャンバ1に保持させることにより、電気的に絶縁された状態で装着されている。
【0020】
第2電極4は、第1電極3と同様にアルミニウムなどの導電体で製作されており、円盤状の電極部4aから上方に支持部4bを延出させた形状となっている。支持部4bは真空チャンバ1と電気的に導通しており、昇降機構24(図3)によって昇降可能となっている。第2電極4が下降した状態では、処理室2内の処理空間は、第2電極4によって機能が異なる2つの空間に仕切られる。
【0021】
すなわち、第2電極4の下方の第1電極3との間には放電空間2bが形成され、第2電極4の上方には真空チャンバ1の天井面との間に排気空間2aが形成される。放電空間2bは、第1電極3上に載置されたシリコンウェハ6を対象としてプラズマ処理を行うためのプラズマ放電を発生させる空間である。排気空間2aは、放電空間2b内のガスを外部に排気するための空間である。
【0022】
次に、図1,図2を参照して、第1電極3の詳細構造について説明する。第1電極3の電極部3aの上面は、処理対象物の基板であるシリコンウェハ6を載置する載置面となっており、シリコンウェハ6の外形よりも大きい形状となっている。ここでシリコンウェハ6は、表面側に論理回路が形成された半導体基板であり、回路形成面の裏側を機械加工によって研磨された後に、この裏面を対象としてプラズマ処理によるエッチングが行われる。そしてこのエッチングによって、機械加工により半導体基板の裏面に生成したマイクロクラックを除去する。
【0023】
図2に示すように、第1電極3の上面は、シリコンウェハ6を載置したときのシリコンウェハ6の外形位置P1よりも所定幅Cだけ内側に位置する境界線P2によって、内外2つの部分に分けられている。すなわち、境界線P2から内側は、素材の導電体であるアルミニウムが上面に露呈した上面中央部Aとなっており、境界線P2から外側は、上面中央部Aを環状に取り囲んで設けられ導電体のアルミニウムが絶縁被覆層3fによって覆われた上面外周部Bとなっている。ここで、所定幅Cは必ずしも全周にわたって等しい幅である必要はなく、位置によって異なってもよい。
【0024】
絶縁被覆層3fは、アルミナなどのセラミックによって形成されており、第1電極3が真空チャンバ1内に装着された状態では、図1に示すように、絶縁被覆層3fの外縁部は部分的に絶縁体5Aによって覆われる(図6も参照)。これにより、第1電極3の外縁部は放電空間2b内に発生したプラズマから絶縁され、異常放電の発生が防止される。
【0025】
図2に示すようにシリコンウェハ6の表面(図2において下面側)の回路形成面には保護テープ6aが貼着されており、プラズマ処理時には保護テープ6aを第1電極3の上面3gに向け、機械研磨面を上向きにした状態で載置される。保護テープ6aは、ポリオレフィン、ポリイミド、ポリエチレンテレフタレートなどの絶縁体の樹脂を100μm程度の厚みの膜に形成した樹脂テープであり、粘着材によりシリコンウェハ6の回路形成面に貼着される。シリコンウェハ6に貼着された保護テープ6aは、回路形成面(表面)に形成された絶縁層となっており、後述するようにこの絶縁層はシリコンウェハ6を静電吸着する際の誘電体として機能する。
【0026】
シリコンウェハ6を第1電極3に載置する際には、図2に示すように、シリコンウェハ6の保護テープ6aの中央部D、外縁部Eを、第1電極3の上面の上面中央部A、上面周辺部Bの絶縁被覆層3fに、それぞれ接触させて載置する。この状態では、外形位置P1から境界線P2までの範囲において、第1電極3の上面3gに保持されたシリコンウェハ6の保護テープ6aの外縁部Eと、絶縁被覆層3fとが重ね合わされて接触する。
【0027】
シリコンウェハ6を静電吸着する際には、主に保護テープ6aの中央部Dを静電吸着を行うための誘電体として利用して、シリコンウェハ6を上面中央部Aで静電吸着する。このとき外縁部Eの範囲においても保護テープ6aおよび絶縁被覆層3fを介してわずかながら静電吸着力が作用し、これらの静電吸着力によって、保護テープ6aの外縁部Eは絶縁被覆層3fに密着する。
【0028】
この密着状態では、第1電極3の上面において導電体が表面に露呈した上面中央部Aの外周端である境界線P2の位置は、シリコンウェハ6の外径寸法のばらつきや第1電極3上における載置位置にのばらつきに拘わらず、シリコンウェハ6によって覆われる。これにより、第1電極3の導電部は放電空間2b内のプラズマから確実に絶縁される。したがって、プラズマ放電中の第1電極3における異常放電を防止して、プラズマ処理装置の稼動状態を安定させることが可能となっている。
【0029】
図2に示すように、第1電極3には上面に開口する吸着孔3eが多数設けられており、吸着孔3eは第1電極3の内部に設けられた吸引孔3cに連通している。吸引孔3cはガスライン切り換え開閉機構11を介して真空吸着ポンプ12に接続されており、ガスライン切り換え開閉機構11は、図1に示すようにチッソガスを供給するN2ガス供給部13及びヘリウムガスを供給するHeガス供給部14に接続されている。ガスライン切り換え開閉機構11を切り換えることにより、吸引孔3cを真空吸着ポンプ12,N2ガス供給部13及びHeガス供給部14に選択的に接続させることができる。
【0030】
吸引孔3cが真空吸着ポンプ12と連通した状態で真空吸着ポンプ12を駆動することにより、吸着孔3eから真空吸引して第1電極3に載置されたシリコンウェハ6を真空吸着して保持する。したがって吸着孔3e、吸引孔3c、真空吸着ポンプ12は第1電極3の上面3gに開口した吸着孔3eから真空吸引することにより、シリコンウェハ6を真空吸着して保持する真空保持手段となっている。
【0031】
また、吸引孔3cをN2ガス供給部13またはHeガス供給部14に接続させることにより、吸着孔3eからシリコンウェハ6の下面に対してチッソガスまたはヘリウムガスを供給することができるようになっている。後述するように、チッソガスはシリコンウェハ6を載置面3gから強制的に離脱させる目的のブロー用ガスであり、ヘリウムガスはプラズマ処理時にシリコンウェハの冷却を促進する目的で吸着孔3e内に充填される熱伝達用のガスである。
【0032】
第1電極3には冷却用の冷媒流路3dが設けられており、冷媒流路3dは冷却機構10と接続されている。冷却機構10を駆動することにより、冷媒流路3d内を冷却水などの冷媒が循環し、これによりプラズマ処理時に発生した熱によって昇温した第1電極3や第1電極3上の保護テープ6aが冷却される。冷媒流路3dおよび冷却機構10は、第1電極3を冷却する冷却手段となっている。
【0033】
処理室2の排気空間2aに連通して設けられた排気ポート1aには、バルブ開放機構7を介して真空排気部8が接続されており、バルブ開放機構7を開放状態にして真空排気部8を駆動することにより、真空チャンバ1の処理室2内部が真空排気され、処理空2内が減圧される。真空排気部8は、排気空間2aに形成された排気ポート1aより排気を行って処理室2内を減圧する減圧手段となっている。
【0034】
第1電極3は、マッチング回路16を介して高周波電源部17に電気的に接続されている。高周波電源部17を駆動することにより、接地部19に接地された真空チャンバ1と導通した第2電極4と第1電極3の間には高周波電圧が印加され、これにより処理室2内部でプラズマ放電が発生する。マッチング回路16は、処理室2内でプラズマを発生させるプラズマ放電回路と高周波電源部17のインピーダンスを整合させる。第1電極3,第2電極4および高周波電源部17は、載置面に載置されたシリコンウェハ6をプラズマ処理するためのプラズマを発生するプラズマ発生手段となっている。
【0035】
また第1電極3には、RFフィルタ15を介して静電吸着用DC電源部18(直流電源部)が接続されている。静電吸着用DC電源部18を駆動することにより、第1電極3の表面には、負電荷が蓄積される。そしてこの状態で高周波電源部17を駆動して処理室2内にプラズマを発生させることにより、第1電極3上に載置されたシリコンウェハ6と接地部19とを接続する直流回路が処理室2内のプラズマを介して形成され、これにより、第1電極3,RFフィルタ15,静電吸着用DC電源部18,接地部19,プラズマ、シリコンウェハ6を順次結ぶ閉じた直流回路が形成され、シリコンウェハ6には正電荷が蓄積される。
【0036】
そして第1電極3に蓄積された負電荷とシリコンウェハ6に蓄積された正電荷との間にはクーロン力が作用し、このクーロン力によってシリコンウェハ6は誘電体としての保護テープ6aを介して第1電極3に保持される。このとき、RFフィルタ15は、高周波電源部17の高周波電圧が静電吸着用DC電源部18に直接印加されることを防止する。第1電極3,静電吸着用DC電源部18は、板状基板であるシリコンウェハ6を第1電極に静電吸着によって保持する静電吸着手段となっている。なお、静電吸着用DC電源部18の極性は正負逆でもよい。
【0037】
次に第2電極4の詳細構造について説明する。第2電極4は、中央の電極部4aと電極部4aを囲んで外周部に張り出して設けられた絶縁体からなる張出部4fを備えた構成となっている。張出部4fの外形は第1電極3よりも大きく、第1電極3よりも外側に広がった形状で配置されている。第2電極4の下面中央部には、ガス吹出部4eが設けられている。ガス吹出部4eは、放電空間2bにおいてプラズマ放電を発生するためのプラズマ発生用ガスを供給する。ガス吹出部4eは、内部に多数の微細孔を有する多孔質材料を円形の板状に加工した部材であり、ガス滞留空間4g内に供給されたプラズマ発生用ガスを、これらの微細孔を介して放電空間2b内に満遍なく吹き出させて均一な状態で供給する。
【0038】
支持部4b内には、ガス滞留空間4gに連通するガス供給孔4cが設けられており、ガス供給孔4cは開閉バルブ20を介してプラズマ発生用ガス供給部21に接続されている。開閉バルブ20を開にした状態でプラズマ発生用ガス供給部21を駆動することにより、フッ素系ガスを含んだプラズマ発生用ガスがガス吹出部4eより放電空間2b内に供給される。
【0039】
また第2電極4には冷却用の冷媒流路4dが設けられており、冷媒流路4dは冷却機構10と接続されている。冷却機構10を駆動することにより、冷媒流路4d内を冷却水などの冷媒が循環し、これによりプラズマ処理時に発生した熱によって昇温した第2電極4が冷却される。
【0040】
図3に示すように、処理室2の側面には、処理対象物の出し入れ用の開口部1bが設けられている(図5も参照)。開口部1bの外側には開閉機構23によって昇降する扉22が設けられており、扉22を昇降させることにより開口部1bが開閉される。図4は、扉22を下降させて開口部1bを開放した状態でシリコンウェハ6を出し入れする状態を示している。昇降機構24により第2電極4を上昇させて第1電極3上に搬送用のスペースを確保した状態で、アーム25aに保持された吸着ヘッド25を開口部1bを介して処理室2内に進入させることにより、シリコンウェハ6の出し入れが行われる。上記構成に示すように、排気空間2aが広く確保できるような構成とすることにより、第2電極4を上昇させる際の電極間距離を広く確保することができ、処理対象物の出し入れ操作を容易に行うことができる。
【0041】
ここで、図5を参照して、処理室2,第1電極3および第1電極3上に載置されるシリコンウェハ6、第2電極4の相互の平面位置関係について説明する。図5は、真空チャンバ1を水平方向に輪切りにした状態を示しており、図5に示す同心円は、外側から順に処理室2(真空チャンバ1)の内面2c、第2電極4の外側面4h(図6参照)、第1電極3の外側面3h、シリコンウェハ6の外形位置を示す境界線P1,第1電極3の上面における境界線P2を示している。
【0042】
図5から判るように、処理室2および第2電極4は略円筒形であり、したがって処理室2の内面2cと第2電極4の外側面4hとの間に形成された空間S1は、2つの同心円筒面に挟まれた円筒を開口部1bによって部分的に切り欠いた略円筒形状となっている。
【0043】
次に、放電空間2b内のガスを外周方向へ導くガス流路と、導かれたガスを排気空間2aに排気するガス排気路について説明する。図6に示すように、第2電極4が下降した状態では、処理室2(真空チャンバ1)の内面と第2電極4の張出部4fの側面4hとの間には、第2電極4の全周にわたって略均一な隙間G1を有する形状の空間S1が形成される。この空間S1は、放電空間2a内のガスを排気空間2bへ排気するガス排気路として機能する。
【0044】
また、張出部4fの下面と第1電極3の周囲に設けられた絶縁体5Aの上面との間には、第2電極4の全周にわたって略均一な隙間G2を有する形状の空間S2が形成される。この空間S2は、ガス吹出部4eから放電空間2b内に供給されたプラズマ発生用ガスや、プラズマ放電によって生成された反応ガスを外周方向に導くガス流路として機能する。
【0045】
ここで、上記隙間G1,G2はともに、排気空間2aの高さ方向の最大寸法H(図3参照)よりも狭くなるように、各部寸法が設定されている。これにより、ガスの流れやすさを示すコンダクタンスで比較した場合、ガス排気路としての空間S1,ガス流路としての空間S2におけるコンダクタンスを、排気空間2aにおけるコンダクタンスよりも小さくすることができる。
【0046】
減圧下にある処理室2内におけるプラズマ発生用ガスの流れ状態は、ガス分子の平均自由行程が大きい分子流の状態となっており、この分子流状態においてはコンダクタンスは圧力とは無関係にその空間の壁面間距離の3乗に比例する。したがって、前記の寸法Hに対してG1,G2が小さければ小さいほど、空間S1,S2におけるコンダクタンスは排気空間2aにおけるコンダクタンスよりも小さくなる。すなわち、減圧下で行われるプラズマ処理において、空間S1,S2内におけるガスの流れと比較して、排気空間2a内においてガスはより流れやすくなっている。
【0047】
したがって、プラズマ処理時に放電空間2b内のガスを排気ポート1aを介して排気する際に、空間S1から排気空間2a内に流入したガスはコンダクタンスが大きいことから速やかに排気ポート1aを介して排出され、排気空間2a内におけるガスの流動状態の分布に大きなばらつきが生じない。このため、空間S1から排気空間2a内に流入するガスについては、第2電極4の全周にわたってほぼ均一な流入状態が実現される。また、空間S2内のガスが空間S1内に流入する際においても同様に、第2電極4の全周にわたってほぼ均一な流れが実現される。これにより、放電空間2b内におけるガスの状態が均一化され、プラズマ処理によるエッチング分布を均一化することが可能となっている。
【0048】
このプラズマ処理装置は上記のように構成されており、以下プラズマ処理方法について各図を参照しながら説明する。このプラズマ処理は、表面に絶縁層としての保護テープ6aを有するシリコンウェハ6を第1電極3の上面に静電吸着によって保持した状態で、且つこの第1電極3を冷却しながらプラズマ処理を行うものである。
【0049】
先ず処理対象物であるシリコンウェハ6が処理室2内に搬送され、第1電極3上に載置される。この後開口部1bが閉じられ、真空吸着ポンプ12を駆動することにより、吸着孔3e、吸引孔3cを介して真空吸引し、シリコンウェハ6は第1電極3の上面3gに密着した状態で真空吸着により保持される。
【0050】
次いで真空排気部8を駆動して処理室2内を真空排気した後、プラズマ発生用ガス供給部21によってプラズマ発生用ガスが処理室2内に供給される。そしてこの後、静電吸着用DC電源部18を駆動してDC電圧を印加し、高周波電源部17を駆動してプラズマ放電を開始する。これにより放電空間2bにはプラズマが発生し、シリコンウェハ6を対象としたプラズマ処理が行われる。このプラズマ処理においては、第1電極3とシリコンウェハ6との間には静電吸着力が発生し、シリコンウェハ6は第1電極3に静電吸着力により保持される。
【0051】
この静電吸着においては、図2に示すようにシリコンウェハ6の保護テープ6aの中央部Dを第2電極3の上面中央部Aに接触させ、保護テープ6aの外縁部Eを第2電極3の上面周辺部Bの絶縁被覆層3fに接触させて載置する。そして主に保護テープ6aの中央部Dを静電吸着を行うための誘電体として利用してシリコンウェハ6を上面中央部Aで静電吸着するとともに、保護テープ6aの外縁部Eを絶縁被覆層3fに密着させることにより、プラズマと第1電極3の上面中央部Aとの間を絶縁する。
【0052】
この後、ガスライン切り替え開閉機構11を駆動して真空吸着をOFFし、バックHe導入が行われる。すなわち、真空吸引によるシリコンウェハ6の第1電極3への保持を解除した後に、Heガス供給部14から伝熱用のヘリウムガスを吸引孔3bを介して供給し、吸着孔3e内に充填する。このプラズマ処理においては、第1電極3は冷却機構10によって冷却されており、プラズマ処理によって昇温したシリコンウェハ6の熱を伝熱性に富む気体であるヘリウムガスを介して第1電極3に伝達することにより、シリコンウェハ6の冷却が効率よく行われる。
【0053】
そして所定のプラズマ処理時間が経過して放電を終了したならばバックHeを停止し、真空吸着を再びONする。これにより、プラズマ放電が終了することにより消失した静電吸着力に替えて、真空吸着力によってシリコンウェハ6が第1電極3に保持される。
【0054】
この後、静電吸着用DC電源部18を停止してDC電圧をOFFにし、大気開放機構9を駆動して処理室2内の大気開放を行う。この後、再びガスライン切り替え開閉機構11を駆動して真空吸着をOFFし、次いでウェハブローを行う。すなわちチッソガスを吸引孔3cを介して供給して吸着孔3eから噴出させ、シリコンウェハ6を第1電極3から離脱させる。そして開口部1bを開状態にし、シリコンウェハ6を処理室2の外部に搬送したならば、ウェハブローをOFFし、プラズマ処理の1サイクルを終了する。
【0055】
なお、上記実施形態における第1電極3の外縁部および絶縁被覆層3fの形状を、図7(b)に示すような形状に変更しても良い。図7(a)は、図1〜図6における第1電極3の外縁部および絶縁被覆層3fを対比のために示しており、図7(b)は、形状が変更された第1電極103,絶縁被覆層103fを示している。
【0056】
変更後の形状においては、第1電極103の外径D3は、図7(a)に示す第1電極3の外径D2よりも小さく設定されており、さらに第1電極103の外縁部の上面はR加工されている。そして絶縁被覆層103fは、図7(a)における境界線P2と同じ位置から外側の範囲に形成され、R加工された外縁部を覆うとともに第1電極103の外側面の上部を覆って形成されている。これにより、図7(a)に示す例と同様にプラズマ処理時における異常放電が防止される。また、絶縁被覆層103fはR形状となっていることから、クラックが発生しにくい形状となっている。
【0057】
上記構成を採用することにより、上記効果を確保しつつ第1電極103の外径D3を図7(a)に示す外径D2と比較して小さくすることができ、同一径D1のシリコンウェハを対象として、第1電極のコンパクト化が実現される。これにより、同一高周波電源出力でプラズマ処理を行った場合に、処理対象のシリコンウェハ6上でのプラズマ密度を向上させてより高速のエッチングを行うことができるとともに、真空チャンバ101の外形サイズB2を、図7(a)に示す真空チャンバ1の外形サイズB1と比較して小型化することができ、装置のコンパクト化が実現される。
【0058】
上記説明したように、本実施の形態のプラズマ処理装置は、絶縁層としての保護テープ6aが貼着されたシリコンウェハ6を静電吸着によって保持する第1電極3の上面を、導電体が上面に露呈した上面中央部Aと、この上面中央部を環状に取り囲んで設けられ導電体が絶縁被覆層によって覆われた上面外周部Bで構成している。
【0059】
このため、静電吸着によってシリコンウェハ6を保持する際には、上面中央部Aによって導電体を直接シリコンウェハ6に接触させて、シリコンウェハ6を十分な静電保持力で保持するとともに、シリコンウェハ6の保護テープ6aを第1電極3の導電体に密着させて冷却効率を向上させることができる。これにより、シリコンウェハ6や保護テープ6aの熱ダメージを防止するとともに、高エッチングレートの効率の良いプラズマ処理を実現することができる。
【0060】
さらに上記構成では、放電空間2b内のプラズマとの間で異常放電を発生しやすい第1電極3の外縁部を絶縁被覆層3fで覆うとともに、絶縁被覆層3fの内周端が必ずシリコンウェハ6で覆われるように寸法を設定していることから、プラズマ処理時の異常放電を防止して装置の安定稼動を実現できる。
【0061】
【発明の効果】
本発明によれば、基板を静電吸着によって保持する電極の上面を、基板の外形位置よりも所定幅だけ内側の境界線から内側に設けられ導電体が上面に露呈した上面中央部と、この上面中央部を環状に取り囲んで設けられ導電体が絶縁被覆層によって覆われた上面外周部で構成することにより、基板を十分な静電保持力で保持するとともに、基板を電極に密着させて基板の冷却効率を向上させることができる。
【図面の簡単な説明】
【図1】本発明の一実施の形態のプラズマ処理装置の側断面図
【図2】本発明の一実施の形態のプラズマ処理装置の第1電極の側断面図
【図3】本発明の一実施の形態のプラズマ処理装置の側断面図
【図4】本発明の一実施の形態のプラズマ処理装置の側断面図
【図5】本発明の一実施の形態のプラズマ処理装置の平断面図
【図6】本発明の一実施の形態のプラズマ処理装置の部分断面図
【図7】本発明の一実施の形態のプラズマ処理装置の部分断面図
【符号の説明】
1 真空チャンバ
2 処理室
3 第1電極
3f 絶縁被覆層
4 第2電極
6 シリコンウェハ
8 真空排気部
17 高周波電源部
18 静電吸着用DC電源部

Claims (4)

  1. 回路形成面に静電吸着する際の誘電体として機能する保護テープを有する半導体基板を処理室内に収容してこの半導体基板の前記回路形成面の裏面をプラズマ処理するプラズマ処理装置であって、導電体で形成され且つこの半導体基板の外形よりも大形の上面を有する電極と、この電極を冷却する冷却手段と、前記半導体基板を前記保護テープを前記電極の上面に接触させて静電吸着によって保持するために前記電極に直流電圧を印加する直流電源部と、前記処理室内を減圧する減圧手段と、前記処理室内にプラズマ発生用のガスを供給するプラズマ発生用ガス供給部と、前記電極に高周波電圧を印加して前記処理室内にプラズマを発生させる高周波電源部を備え、前記電極の上面は、前記半導体基板の外形位置よりも所定幅だけ内側の境界線から内側に設けられ導電体が上面に露呈した上面中央部と、この上面中央部を環状に取り囲んで設けられ導電体が絶縁被覆層によって覆われた上面外周部と、前記半導体基板を真空吸着する吸着孔を有し、前記絶縁被覆層の外縁部を環状に覆う絶縁部を備えたことを特徴とするプラズマ処理装置。
  2. 前記外形位置から前記境界線までの範囲において、電極の上面に保持された半導体基板の絶縁層の外縁部と前記絶縁被覆層とが接触することを特徴とする請求項1記載のプラズマ処理装置。
  3. 前記絶縁被覆層が、前記電極の側面まで形成されていることを特徴とする請求項1記載のプラズマ処理装置。
  4. 前記絶縁被覆層がアルミナであることを特徴とする請求項1記載のプラズマ処理装置。
JP2002209052A 2002-07-18 2002-07-18 プラズマ処理装置 Expired - Fee Related JP4186536B2 (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP2002209052A JP4186536B2 (ja) 2002-07-18 2002-07-18 プラズマ処理装置
AU2003243016A AU2003243016A1 (en) 2002-07-18 2003-07-14 Plasma processing apparatus and plasma processing method
PCT/JP2003/008930 WO2004010456A1 (en) 2002-07-18 2003-07-14 Plasma processing apparatus and plasma processing method
EP03765292A EP1537596A1 (en) 2002-07-18 2003-07-14 Plasma processing apparatus and plasma processing method
CNB038170442A CN100429739C (zh) 2002-07-18 2003-07-14 等离子体处理设备和等离子体处理方法
KR1020057000947A KR100949953B1 (ko) 2002-07-18 2003-07-14 플라즈마 처리 장치 및 플라즈마 처리 방법
US10/621,497 US7056831B2 (en) 2002-07-18 2003-07-17 Plasma processing apparatus and plasma processing method
TW092119656A TWI289892B (en) 2002-07-18 2003-07-18 Plasma processing apparatus and plasma processing method
US11/147,925 US20050247404A1 (en) 2002-07-18 2005-06-08 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002209052A JP4186536B2 (ja) 2002-07-18 2002-07-18 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2004055703A JP2004055703A (ja) 2004-02-19
JP4186536B2 true JP4186536B2 (ja) 2008-11-26

Family

ID=30767674

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002209052A Expired - Fee Related JP4186536B2 (ja) 2002-07-18 2002-07-18 プラズマ処理装置

Country Status (8)

Country Link
US (2) US7056831B2 (ja)
EP (1) EP1537596A1 (ja)
JP (1) JP4186536B2 (ja)
KR (1) KR100949953B1 (ja)
CN (1) CN100429739C (ja)
AU (1) AU2003243016A1 (ja)
TW (1) TWI289892B (ja)
WO (1) WO2004010456A1 (ja)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3992018B2 (ja) * 2003-07-23 2007-10-17 松下電器産業株式会社 プラズマ処理装置
US20050051098A1 (en) * 2003-09-05 2005-03-10 Tooru Aramaki Plasma processing apparatus
JP3894562B2 (ja) * 2003-10-01 2007-03-22 キヤノン株式会社 基板吸着装置、露光装置およびデバイス製造方法
KR100727469B1 (ko) 2005-08-09 2007-06-13 세메스 주식회사 플라즈마 식각장치
JP4508054B2 (ja) * 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
JP4674512B2 (ja) * 2005-09-12 2011-04-20 パナソニック株式会社 プラズマ処理装置
US20070212816A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing system
JP4771845B2 (ja) * 2006-03-22 2011-09-14 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US7985699B2 (en) 2006-03-22 2011-07-26 Tokyo Electron Limited Substrate processing method and storage medium
US20080141509A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5420562B2 (ja) * 2007-12-13 2014-02-19 ラム リサーチ コーポレーション プラズマ非閉じ込め事象を検出するための検出装置及びその方法
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US20100018463A1 (en) * 2008-07-24 2010-01-28 Chen-Hua Yu Plural Gas Distribution System
KR101286545B1 (ko) 2008-09-23 2013-07-17 엘지디스플레이 주식회사 세정 장치
JP5075793B2 (ja) * 2008-11-06 2012-11-21 東京エレクトロン株式会社 可動ガス導入構造物及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN103367089B (zh) * 2012-03-30 2016-04-06 中微半导体设备(上海)有限公司 一种具有双外壳的等离子体处理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
JP5886821B2 (ja) * 2013-01-04 2016-03-16 ピーエスケー インコーポレイテッド 基板処理装置及び方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6225837B2 (ja) * 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6380094B2 (ja) * 2014-12-26 2018-08-29 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102113453B1 (ko) * 2016-06-03 2020-05-21 어플라이드 머티어리얼스, 인코포레이티드 기판 거리 모니터링
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11127605B2 (en) * 2016-11-29 2021-09-21 Sumitomo Electric Industries, Ltd. Wafer holder
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) * 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109087840B (zh) * 2018-09-27 2023-11-07 中山市博顿光电科技有限公司 一种水冷式射频中和器
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111314838B (zh) * 2020-02-25 2021-08-27 绍兴中芯集成电路制造股份有限公司 Mems麦克风器件的检测方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN112663145B (zh) * 2020-12-04 2022-10-18 通威太阳能(安徽)有限公司 一种去除lpcvd多晶硅绕镀的装置及方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN118231321B (zh) * 2024-05-24 2024-08-06 上海陛通半导体能源科技股份有限公司 带静电卡盘的半导体设备

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JPH0845911A (ja) * 1994-07-29 1996-02-16 Nippon Steel Corp プラズマ処理装置用電極
JPH08186100A (ja) * 1995-01-06 1996-07-16 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
US5792376A (en) * 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5847918A (en) * 1995-09-29 1998-12-08 Lam Research Corporation Electrostatic clamping method and apparatus for dielectric workpieces in vacuum processors
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JP3507331B2 (ja) * 1998-05-20 2004-03-15 松下電器産業株式会社 基板温度制御方法及び装置
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3814431B2 (ja) * 1998-12-03 2006-08-30 松下電器産業株式会社 半導体装置の製造方法
JP3531612B2 (ja) 2000-01-26 2004-05-31 松下電器産業株式会社 ワークのプラズマ処理方法
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
US6884717B1 (en) * 2002-01-03 2005-04-26 The United States Of America As Represented By The Secretary Of The Air Force Stiffened backside fabrication for microwave radio frequency wafers

Also Published As

Publication number Publication date
CN100429739C (zh) 2008-10-29
WO2004010456A1 (en) 2004-01-29
US7056831B2 (en) 2006-06-06
TW200403752A (en) 2004-03-01
EP1537596A1 (en) 2005-06-08
US20040050496A1 (en) 2004-03-18
US20050247404A1 (en) 2005-11-10
KR20050025621A (ko) 2005-03-14
KR100949953B1 (ko) 2010-03-30
TWI289892B (en) 2007-11-11
CN1669109A (zh) 2005-09-14
AU2003243016A1 (en) 2004-02-09
JP2004055703A (ja) 2004-02-19

Similar Documents

Publication Publication Date Title
JP4186536B2 (ja) プラズマ処理装置
JP4013753B2 (ja) 半導体ウェハの切断方法
JP3991872B2 (ja) 半導体装置の製造方法
JP3992018B2 (ja) プラズマ処理装置
JP3650248B2 (ja) プラズマ処理装置
JP3966168B2 (ja) 半導体装置の製造方法
JP7454976B2 (ja) 基板支持台、プラズマ処理システム及びエッジリングの交換方法
JP4255747B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4013745B2 (ja) プラズマ処理方法
TW200416851A (en) Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
KR101850355B1 (ko) 플라즈마 처리 장치
JPH05121333A (ja) プラズマ処理装置
WO2003019618A2 (en) Plasma treating apparatus and plasma treating method
JP3311812B2 (ja) 静電チャック
JPH07335732A (ja) 静電チャック、これを用いたプラズマ処理装置及びこの製造方法
JPH06349938A (ja) 真空処理装置
JP3040630B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP4245868B2 (ja) 基板載置部材の再利用方法、基板載置部材および基板処理装置
JP3695429B2 (ja) プラズマ処理装置
JPH08167595A (ja) プラズマ処理装置
JPH11111830A (ja) 静電吸着装置および静電吸着方法、ならびにそれを用いた処理装置および処理方法
JP4515652B2 (ja) プラズマ処理装置
JP3776061B2 (ja) プラズマ処理装置及びプラズマ処理方法
JPH07183280A (ja) プラズマ処理装置
JP3901128B2 (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040427

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20050707

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070705

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071213

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080819

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080901

R151 Written notification of patent or utility model registration

Ref document number: 4186536

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110919

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120919

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130919

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees