CN1669109A - 等离子体处理设备和等离子体处理方法 - Google Patents

等离子体处理设备和等离子体处理方法 Download PDF

Info

Publication number
CN1669109A
CN1669109A CNA038170442A CN03817044A CN1669109A CN 1669109 A CN1669109 A CN 1669109A CN A038170442 A CNA038170442 A CN A038170442A CN 03817044 A CN03817044 A CN 03817044A CN 1669109 A CN1669109 A CN 1669109A
Authority
CN
China
Prior art keywords
electrode
top surface
plasma
substrate
silicon chip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038170442A
Other languages
English (en)
Other versions
CN100429739C (zh
Inventor
岩井哲博
有田洁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Publication of CN1669109A publication Critical patent/CN1669109A/zh
Application granted granted Critical
Publication of CN100429739C publication Critical patent/CN100429739C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)

Abstract

在对硅片(6)进行冷却的状态下对该硅片进行等离子体处理的等离子体处理设备中,由第一电极(3)借助于静电吸引保持粘接有保护膜(6a)的硅片(6),第一电极(3)的顶表面(3g)由位于边界线(P2)内侧的顶表面中心区(A)和包围顶表面中心区(A)的环形顶表面周边区(B)构成,所述边界线从硅片(6)的外周边(P1)向内距离规定的长度,顶表面中心区中的导体被露出,环形顶表面周边区中的导体用绝缘涂层(3f)覆盖。这种结构通过使硅片(6)与导体直接接触而可以利用足够的静电保持力来保持硅片(6),并且通过从硅片(6)向第一电极(3)传导热量可以提高冷却效率。

Description

等离子体处理设备和等离子体处理方法
技术领域
本发明涉及一种用于等离子体处理如硅片之类的半导体衬底的等离子体处理设备和等离子体处理方法。
背景技术
在用于半导体器件的硅片的制造工艺中,随着半导体器件厚度的减小,正逐渐执行用于减小衬底厚度的减薄工艺。在减薄工艺中,在硅衬底的前表面上形成电路图形之后,对后表面即与电路形成表面相反的表面进行机械研磨。机械研磨之后,进行等离子体处理,从而刻蚀掉由于研磨形成在硅衬底的研磨表面上的受损层。
在等离子体处理中,将硅片保持在如下状态:由于硅片的处理对象表面(processing subject surface)(即后表面)必须位于上方,所以使它的电路形成表面朝向衬底安装部分的安装表面。为了防止电路与安装表面直接接触和由此而引起的损伤,在电路形成表面上粘接保护膜。
用于保持这类硅片的一种方法是利用静电吸引(electrostatic absorption)的方法。在这种方法中,硅片被放置在衬底安装部分上,在衬底安装部分中导体的所述表面用薄绝缘层覆盖。在所述导体上施加DC电压,从而使衬底安装部分的所述表面成为静电吸引表面。借助于作用在硅片和位于绝缘层下面的导体之间的库仑力通过衬底安装部分来保持硅片。
然而,在通过静电吸引保持粘接有保护膜(上面所提到的)的硅片时,库仑力不仅通过绝缘层而且还通过绝缘保护膜作用在导体上,因此静电吸引力比硅片与静电吸引表面直接接触的情况(即,没有插入保护膜)弱;不能获得足够的保持力。
此外,由于衬底安装部分的整个表面用绝缘层覆盖,在硅片被安装在绝缘层上的状态下,硅片与衬底安装部分的导体没有直接接触,因此从硅片到衬底安装部分的导热系数很低。这就使得在等离子体处理期间难以通过从硅片上有效排出热量来冷却硅片。如果衬底温度过度增加,则粘接在衬底上的保护膜将受到热损伤。鉴于此,在设定等离子体处理条件时,必须将用于功率源的等离子体处理的输出功率设定得很低,也就是说,输出功率受到衬底安装部分的衬底冷却能力的限制。如上所述,在处理对象是具有绝缘层(保护膜)的半导体衬底时,在保持足够的静电保持力的同时难以提高冷却效率;难以实现具有高刻蚀速度的等离子体处理。
发明内容
因此,本发明的目的是提供一种在维持足够的静电保持力(electrostaticholding force)的同时能提高半导体衬底的冷却效率的等离子体处理设备和等离子体处理方法。
根据本发明的第一方面,提供一种用于等离子体处理衬底表面的等离子体处理设备,其中所述衬底具有处于前表面上的绝缘层并被容纳在处理室中,该处理设备包括:电极,该电极是导体并具有大于衬底的外部尺寸的顶表面;冷却电极的冷却装置;向电极施加DC电压,以使电极的顶表面通过静电吸引保持衬底的DC电源部件;降低处理室内压力的降压装置;向处理室供给等离子体产生气体的等离子体产生气体供给部件;和通过向电极施加射频电压在处理室中产生等离子体的射频功率部件,其中,电极的顶表面具有位于边界线内侧的顶表面中心区和包围该顶表面中心区的环形顶表面周边区,所述边界线从衬底的外周边向内距离规定长度,顶表面中心区内的导体被露出,环形顶表面周边区内的导体被绝缘涂层覆盖。
在该等离子体处理设备中,优选被电极的顶表面保持的衬底的绝缘层的外周边部分与外周边和边界线之间的区域中的绝缘涂层接触。
该等离子体处理设备优选还包括覆盖环形绝缘涂层的外周边部分的绝缘部分。
在该等离子体处理设备中,优选使绝缘涂层延伸成覆盖电极的部分侧表面。
在该等离子体处理设备中,绝缘涂层优选由氧化铝构成。
根据本发明的第二方面,提供一种等离子体处理方法,其中,是导体且具有比要被等离子体处理的衬底的外部尺寸大的顶表面的电极被置于处理室中,衬底的前表面上具有绝缘层,电极的顶表面具有位于边界线内侧的顶表面中心区和包围该顶表面中心区的环形顶表面周边区,所述边界线从衬底的外周边向内距离规定长度,顶表面中心区内的导体被露出,环形顶表面周边区内的导体被绝缘涂层覆盖,在由电极的顶表面借助于静电吸引保持衬底并对电极进行冷却的状态下进行等离子体处理,其中,
将衬底安装在电极的顶表面上,使得衬底的绝缘层的中心部分和周边部分分别与顶表面中心区和顶表面周边区中的绝缘涂层接触;借助于主要利用绝缘层的中心部分作为静电吸引的电介质将衬底静电吸引在顶表面中心区上;及通过绝缘层的外周边部分与绝缘涂层的紧密接触使电极的顶表面中心区与等离子体隔离。
在该等离子体处理方法中,衬底优选是半导体衬底,在其前表面上形成逻辑电路,而半导体衬底的后表面通过等离子体处理被刻蚀。
在该等离子体处理方法中,优选蚀刻掉在机械处理中在半导体衬底的后表面上形成的微裂纹。
根据本发明,通过静电吸引保持衬底的电极的顶表面由顶表面中心区和环形顶表面周边区构成,顶表面中心区位于边界线的内侧,所述边界线从衬底的外周边向内距离规定的长度,其内的导体被露出,环形顶表面周边区包围顶表面中心区,其内的导体被绝缘涂层覆盖。这种结构可以借助于足够的静电保持力保持衬底,并可通过使衬底与电极紧密接触提高衬底的冷却效率。
附图说明
图1是本发明一实施方式的等离子体处理设备的侧面剖视图;
图2是本发明该实施方式的处理设备的第一电极的侧面剖视图;
图3是本发明该实施方式的等离子体处理设备的侧面剖视图;
图4是本发明该实施方式的等离子体处理设备的侧面剖视图;
图5是本发明该实施方式的等离子体处理设备的水平剖视图;
图6是本发明该实施方式的等离子体处理设备的部分剖视图;及
图7A和7B是本发明该实施方式的等离子体处理设备的部分剖视图。
具体实施方式
下面参照附图介绍本发明的实施方式。图1是本发明一实施方式的等离子体处理设备的侧面剖视图;图2是本发明该实施方式的处理设备的第一电极的侧面剖视图;图3和4是本发明该实施方式的等离子体处理设备的侧面剖视图;图5是本发明该实施方式的等离子体处理设备的水平剖视图;图6、7A和7B是本发明该实施方式的等离子体处理设备的部分剖视图。
首先,参照图1介绍等离子体处理设备的结构。如图1所示,在真空腔1中设置执行等离子体处理的处理室2;可以在处理室2中形成封闭处理空间,在该空间中低压下可产生等离子体。处理室2呈圆柱形(参见图5),沿垂直方向设置第一电极3和第二电极4,使它们在处理室2中彼此相对。第一电极3和第二电极4呈圆柱形,并且同轴地设置在处理室2中。
第一电极3被两层插入以填充处理室2底部的绝缘体5A和5B包围,借此将第一电极3固定成使得电极的用于保持处理对象的顶表面暴露在处理室2下部的中心处。第一电极3由如铝之类的导电材料构成,其具有支撑部分3b从圆盘形电极部分3a向下延伸的结构。支撑部分3b通过绝缘件5C被真空腔1固定,借此使第一电极3电绝缘。
像第一电极3一样,第二电极4也由如铝之类的导电材料构成。第二电极4具有支撑部分4b从圆盘形电极部分4a向上延伸的结构。支撑部分4b与真空腔1电连接。第二电极4可以由升降机构24(见图3)提升和下降。在第二电极4处于下降的状态下,处理室2的处理空间被第二电极4分成具有不同功能的两个空间。
更明确地说,在第二电极4下方、即在第二电极4和第一电极3之间形成放电空间2b,在第二电极4上方、即在第二电极4和真空腔1的顶板表面之间形成排气空间2a。放电空间2b是其中产生等离子体放电从而在安装于第一电极3上的硅片6上进行等离子体处理的空间。排气空间2a是使来自放电空间2b的气体通过其排出的空间。
接着,将参照图1和2详细介绍第一电极3的结构。第一电极3的电极部分3a的顶表面是安装表面,其上安装有作为处理对象(衬底)的硅片6,顶表面的外部尺寸大于硅片6。硅片6是半导体衬底,其前表面上形成有逻辑电路。硅片6的与电路形成表面相反的后表面经过机械处理被研磨之后通过等离子体处理被刻蚀。通过刻蚀除去在机械处理中在硅片6的后表面上形成的微裂纹。
如图2所示,第一电极3的顶表面被边界线P2分成两个区,即内侧区和外侧区,边界线P2从安装在第一电极3上的硅片6的外周边部位P1向内距离规定的长度C。也就是说,边界线P2内侧的区域是暴露导电材料(铝)的顶表面中心区A,边界线P2外侧的区域是包围顶表面中心区A、用绝缘涂层3f覆盖内部的导电材料(铝)的环形顶表面周边区B。规定长度C沿整个周边不必始终相等,而可以随周边位置而变。
绝缘涂层3f由如氧化铝之类的陶瓷材料构成。如图1所示,在真空腔1中设有第一电极3的情况下,用绝缘体5A覆盖绝缘涂层3f的一部分(即,外周边部分)(也可以参见图6)。借助这种措施,第一电极3的外周边部分与放电空间2b中产生的等离子体隔离,从而可防止非正常放电。
如图2所示,在硅片6的前表面(即,电路形成表面;图2中描绘为下表面)上粘接有保护膜6a。在等离子体处理期间,保护膜6a与第一电极3的顶表面3g相对,也就是说,将硅片6安装在第一电极3上,使其机械研磨表面朝上。保护膜6a是树脂薄膜,即是由如聚烯烃、聚酰亚胺或聚对苯二甲酸二乙醇酯之类的绝缘树脂制成的、其厚度约为100μm的薄膜。利用粘合剂将保护膜6a粘接到硅片6的电路形成表面上。粘接到硅片6上的保护膜6a是处于硅片电路形成表面(即前表面)上的绝缘层,当硅片6被静电吸引时该保护膜起电介质(将在后面介绍)的作用。
如图2所示,在第一电极3上安装硅片6,使得硅片6上的保护膜6a的中心部分D和外周边部分E分别与第一电极的顶表面中心区A和绝缘涂层3f(位于顶表面周边区B上方)接触。在这种状态下,被第一电极3的顶表面3g保持的硅片6上的保护膜6a的外周边部分E与外周边部分P1和边界线P2之间的区域中的绝缘涂层3f共同延伸并相接触。
主要利用保护膜6a的中心部分D作为用于静电吸引的电介质将硅片6静电吸引在顶表面中心区A上。此时,在外周边区E中,即使只有轻微的静电吸引力经保护膜6a和绝缘涂层3f作用于硅片6上,这些静电吸引力仍可使保护膜6a的外周边部分E与绝缘涂层3f紧密接触。
在这种紧密接触的状态下,露出了导体的第一电极3的顶表面的顶表面中心区A的外周边的边界线P2被硅片6覆盖,而与硅片6的外径和第一电极3上的硅片6的安装位置的改变无关。因此,可以可靠地保护第一电极3的导体免受放电空间2b中的等离子体的影响。结果可以在等离子体放电期间防止包括第一电极3在内的非正常放电,并且可以使等离子体处理设备的工作状态稳定。
如图2所示,在第一电极3中形成一些吸引孔(absorption hole)3e,以便到达第一电极的顶表面并与在第一电极3内部形成的抽吸孔(suctionhole)3c连通。抽吸孔3c经气体管道转换开启/关闭机构11连接到真空吸收泵12上。如图1所示,气体管道转换开启/关闭机构11与供给氮气的氮气供给部件13和供给氦气的氦气供给部件14相连。通过切换气体管道转换开启/关闭机构11,抽吸孔3c可以有选择地与真空吸收泵12、氮气供给部件13和氦气供给部件14相连。
如果在吸引孔3c与真空吸收泵12连通的状态下驱动真空吸收泵12,则通过吸引孔3e实现真空抽吸,并且安装在第一电极3上的硅片6被真空吸引和保持。因此,吸引孔3e、抽吸孔3c和真空吸收泵12构成真空保持装置,该装置用于经形成在第一电极3中以便到达其顶表面的吸引孔3e通过真空吸引硅片6而保持硅片6。
如果使抽吸孔3c与氮气供给部件13或氦气供给部件14相连,经吸引孔3e可将氮气或氦气供应到硅片6的下表面(如图2中所看到的)。如下面所述,氮气是用于强制地使硅片6从安装表面3g分离的吹送气体,氦气是传热气体,在等离子体处理期间用氦气充入吸引孔3e以加速硅片6的冷却。
在第一电极3中形成用于冷却的冷却剂通道3d,该冷却剂通道与冷却机构10相连。如果驱动冷却机构10,如冷却水之类的冷却剂循环流过冷却剂通道3d,由此冷却因等离子体处理期间产生的热量导致温度升高的第一电极3和第一电极3上的保护膜6a。冷却剂通道3d和冷却机构10构成用于冷却第一电极3的冷却装置。
真空抽吸部件8经阀开启机构7连接到与处理室2中的排气空间2a连通的排气口1a。若在阀开启机构7处于开启的状态下驱动真空抽吸部件8,则对真空腔1的处理室2抽真空,因而处理室2内部的压力降低。真空抽吸部件8是压力降低装置,它可通过与排气空间2a连通的排气口1a对处理室2进行排气而降低处理室2内部的压力。
第一电极3经匹配电路16电连接到射频功率部件17上。如果驱动射频功率部件17,射频电压施加在第一电极3和与被接地部分19接地的真空腔1电学上连续的第二电极4之间,借此在处理室2中产生等离子体放电。匹配电路16用于在射频功率部件17和在处理室2中产生等离子体的等离子体放电电路之间实现阻抗匹配。第一电极3、第二电极4和射频功率部件17构成等离子体产生装置,该装置用于产生对被安装在安装表面上的硅片6进行等离子体处理的等离子体。
静电吸引直流电源部件18(在权利要求书中称为“DC电源部件”)经射频滤波器(RF filter)15也连接到第一电极3。若驱动静电吸引DC电源部件18,在第一电极3的表面积累负电荷。如果在这种状态下通过驱动射频功率部件17在处理室2中产生等离子体,DC电路使安装在第一电极3上的硅片6经处理室2中的等离子体与接地部分19相连,借此形成顺序连接第一电极3、RF滤波器15、静电吸引DC电源部件18、接地部分19、等离子体和硅片6顺序相连的闭合DC电路。结果在硅片6中积累正电荷。
库仑力作用于积累在第一电极3中的负电荷和积累在硅片6中的正电荷之间,并经用作电介质的保护膜6a由第一电极3保持硅片6。此时,RF滤波器15可防止高频功率部件17的射频电压直接施加在静电吸引DC电源部件18上。第一电极3和静电吸引DC电源部件18构成由第一电极3通过静电吸引保持硅片(板状衬底)6的静电吸引装置。静电吸引DC电源部件18的极性可以与上述一个的极性相反。
接下来将详细介绍第二电极4的结构。第二电极4具有中心电极部分4a和由绝缘材料构成并从电极部分4a的外周边突出的突起部分4f。突起部分4f具有比第一电极3大的外部尺寸,且延伸到第一电极3的外部。设置气体吹出部分4e,以便占据第二电极4的下表面的中心部分,并供给用于在放电空间2b中产生等离子体放电的等离子体产生气体。气体吹出部分4e是通过将内部具有大量微孔的多孔材料成形为圆板状而构成的部件。气体吹出部分4e将已经输送到气体存储空间4g的等离子体产生气体借助于均匀地通过微孔吹出的所述气体而均匀地输送到放电空间2b。
在支撑部分4b中形成与气体存储空间4g连通的气体供给孔4c,该供给孔经开启/关闭阀20与等离子体产生气体供给部件21相连。若在开启所述开启/关闭阀20的状态下驱动等离子体产生气体供给部件21,则含有以氟为基的气体的等离子体产生气体经气体吹出部分4e被输送到放电空间2b。
在第二电极4中形成用于冷却的冷却剂通道4d,该通道与冷却机构10相连。如果驱动冷却机构10,如冷却水之类的冷却剂循环流过冷却剂通道4d,由此冷却因等离子体处理期间产生的热量导致温度升高的第二电极4。
如图3所示,穿过处理室2的侧壁形成用于送入/取出处理对象的开口1b(见图5)。在开口1b的外侧设有由开启/关闭机构23提升和下降的门22;通过使门22提升或下降可开启或关闭开口1b。图4示出了在通过降低门22开启开口1b的状态下如何送入或取出硅片6的情况。在利用升降机构24升降第二电极4而在第一电极3上方确保传送空间的状态下,由臂25a保持的吸引头25经开口1b插入处理室2中,以便送入或取出硅片6。在保证有宽阔的排气空间2a的上述结构中,在第二电极4升降时可确保长的电极间距离,这有利于送入或取出处理对象的操作。
下面将参照图5介绍处理室2、第一电极3、安装在第一电极3上的硅片6、和第二电极4之间的平面位置关系。在作为真空腔1的水平剖视图的图5中,按照从外向内的顺序,这些同心圆代表处理室2(即真空腔1)的内表面2c、第二电极4的外侧表面4h(见图6)、第一电极3的外侧表面3h、表示硅片6的外周边的边界线P1、以及第一电极3的顶表面上的边界线P2。
如图5所看到的,由于处理室2和第二电极4大体为圆柱形,因此在处理室2的内表面2c和第二电极4的外侧表面4h之间形成的空间S1大体也为圆柱形空间,除与开口1b连续的部分外,该圆柱形空间置于两个同轴圆柱的圆周表面之间。
接着,将介绍用于从放电空间2b向外引导气体的气体流动路径和用于向排气空间2a排放如此引导的气体的气体排放路径。如图6所示,在降低第二电极4的状态下,在处理室2(即真空腔1)的内表面2c和第二电极4的突起部分4f的侧表面4h之间形成空间S1,该空间在第二电极4的整个圆周上方具有大体恒定的宽度G1。空间S1用作将来自放电空间2b的气体引导到排气空间2a中的气体排放路径。
在突起部分4f的下表面和设置在第一电极3周围的绝缘体5A的顶表面之间形成空间S2,该空间在第二电极4的整个圆周上方具有大体恒定的宽度G2。空间S2用作将从气体吹出部分4e向放电空间2b输送的气体和通过等离子体放电产生的反应气体朝外引导的气体流动路径。
设定相关部分的尺寸使宽度G1和G2两者均比排气空间2a沿高度方向的最大尺寸H小(见图3)。利用这种措施,可以使作为气体排放路径的空间S1和作为气体流动路径的空间S2的每一空间的传导性小于排气空间2a的传导性(传导性表示气体流动的容易程度)。
在低压处理室2中,等离子体产生气体表现为分子流,该分子流中的分子具有长的平均自由程。在这种分子流状态下,所述传导性与压力无关,而与相关空间的壁间距离的三次幂成正比。因此,当宽度G1和G2小于尺寸H时,空间S1和S2的每一空间的传导性远小于排气空间2a的传导性。也就是说,在低压下进行等离子体处理时,气体流过排气空间2a比流过每一空间S1和S2更容易。
因此,在等离子体处理期间经排气口1a排放来自放电空间2b的气体时,由于排气空间2a的传导性大,已经从空间S1进入排气空间2a的气体经排气口1a被迅速排放;而排气空间2a中的气体流动状态分布变化不大。结果,对于从空间S1进入排气空间2a的气体而言,在第二电极4的整个圆周上方可获得几乎均匀的流动状态。同样,对于从空间S2进入空间S1的气体而言,在第二电极4的整个圆周上方可获得几乎均匀的流动状态。通过这种方式,可使放电空间2a中气体的状态均匀,由此也可以使由等离子体处理刻蚀的轻重的分布均匀。
上面已经介绍了等离子体处理设备的结构。下面将参照附图介绍等离子体处理方法。等离子体处理是在硅片6的前表面粘接有作为绝缘层的保护膜6a、由第一电极3的顶表面通过静电吸引保持硅片6及对第一电极3进行冷却的状态下进行的。
首先,将作为处理对象的硅片6输送到处理室2中并安装在第一电极3上。关闭开口1b之后,驱动真空吸收泵12,因此经吸引孔3e和抽吸孔3c对硅片6进行真空抽吸;利用第一电极3的顶表面3g以与顶表面3g紧密接触的方式经真空吸引保持硅片6。
接着,通过驱动真空抽吸部件8对处理室2进行真空抽吸后,从等离子体产生气体供给部件21向处理室2供给等离子体产生气体。然后,通过驱动静电吸引DC电源部件18在第一电极3和第二电极4之间施加DC电压,并且通过驱动射频功率部件17开始等离子体放电。结果,在放电空间2b中产生等离子体,并且在硅片6上进行等离子体处理。在等离子体处理期间,在第一电极3和硅片6之间产生静电吸引力,并且借助于静电吸引力由第一电极3保持硅片6。
在这种静电吸引中,如图2所示,将硅片6放置在第一电极3上,使得保护膜6a的中心部分D与第一电极3的顶表面中心区A接触,并使前者的外周边部分E与后者的顶表面周边区B的绝缘涂层3f接触。主要利用作为用于静电吸引的电介质的保护膜6a的中心部分D将硅片6静电吸引在顶表面中心区A上。保护膜6a的外周边部分E与绝缘涂层3f紧密接触,由此使第一电极3的顶表面中心区A与等离子体隔离。
然后,驱动气体管道转换开启/关闭机构11,借此停止真空吸引并从后面供给氦气。明确地说,取消由第一电极3借助于真空吸引对硅片6的保持后,从氦气供给部件14经抽吸孔3c输送用于传热的氦气,以将氦气充入吸引孔3e。在等离子体处理期间,利用冷却机构10冷却第一电极3。因等离子体处理而温度升高的硅片6的热量经过为高导热系数气体的氦气被传到第一电极3。通过这种方式,可以有效地冷却硅片6。
经过规定的等离子体处理时间及放电结束后,停止向后侧供给氦气,并再次起动真空吸引。结果,第一电极3可借助于真空吸引力保持硅片6,该真空吸引力代替了因等离子体放电结束而消失的静电吸引力。
接着,停止驱动静电吸引DC电源部件18(即,断开DC电压),并驱动空气通口机构(opening-to-air mechanism)9,从而开启处理室2使之与环境气体相通。然后,再次驱动气体管道转换开启/关闭机构11,以停止真空吸引。之后进行晶片吹气(wafer blowing)。也就是说,经抽吸孔3c输送氮气并吹出吸引孔3e,借此使硅片6从第一电极3上脱离。然后,打开开口1b并将硅片6输送到处理室2的外部。最后,停止晶片吹气,从而完成等离子体处理的一个循环。
第一电极的周边部分和绝缘涂层的形状不限于上述实施方式的那些形状,而可以如图7B所示那样作出改变。为了进行比较,图7A示出了图1-6的第一电极3的周边部分和绝缘涂层3f。图7B示出了改形的第一电极103和绝缘涂层103f。
其改形如下:将第一电极103的直径D3设定成小于图7A所示的第一电极3的直径D2,并且第一电极103的外周边部分的顶表面被倒圆。在与图7A所示的边界线P2相同位置外侧的区域中形成绝缘涂层103f,以便覆盖倒圆外周边部分和第一电极103的外侧表面的顶部。象图7A所示的情况那样,这种结构也可防止在等离子体处理期间发生非正常放电。此外,由于绝缘涂层103f被倒圆,因此它们不易产生裂纹。
利用上述结构,在确保上述优点的同时可以使第一电极103的直径D3比图7A所示的第一电极3的直径D2小;对于具有相同直径D1的硅片而言,可将第一电极制造得更紧凑。即使用相同的射频输出功率进行等离子体处理,也可以提高硅片6上方的等离子体密度,从而提高刻蚀速度。此外,还可将真空腔101的外部尺寸B2制造得比图7A所示的真空腔1的外部尺寸B1小,这就使得设备更紧凑。
如上所述,在本实施方式的等离子体处理设备中,通过静电吸引保持粘接有作为绝缘层的保护膜6a的硅片6的第一电极3的顶表面由顶表面中心区A和环形顶表面周边区B构成,在顶表面中心区中的导体露出,环形顶表面周边区B包围顶表面中心区A,该环形顶表面周边区中的导体用绝缘涂层3f覆盖。
因此,当利用静电吸引保持硅片6时,可以通过使导体在顶表面中心区A中直接接触硅片6而利用足够的静电保持力来保持硅片6。并且,通过使硅片6的保护膜6a与第一电极3的导体紧密接触,可以提高冷却效率。这可防止对硅片6和保护膜6a的热损伤,并且可以实现具有高刻蚀速度的有效的等离子体处理。
此外,在上述结构中,第一电极3的周边部分用绝缘涂层3f覆盖(在周边部分和放电空间2b中的等离子体之间往往导致非正常放电)并将相关尺寸设定成可根据需要用硅片6覆盖绝缘涂层3f的内周边。这样,可防止在等离子体处理期间发生非正常放电,由此可使设备稳定运行。
工业实用性
根据本发明,用于经静电吸引保持衬底的电极的顶表面由位于边界线内侧的顶表面中心区和环形顶表面周边区构成,所述边界线从衬底的外周边向内距离规定长度,顶表面中心区中的导体被露出,所述环形顶表面周边区包围顶表面中心区且其中的导体用绝缘涂层覆盖。这种结构可以利用足够的静电保持力来保持衬底,并且通过使衬底与电极紧密接触可提高对衬底冷却的效率。

Claims (8)

1.一种用于等离子体处理衬底表面的等离子体处理设备,所述衬底具有处于前表面上的绝缘层并被容纳在处理室中,该处理设备包括:
电极,该电极是导体并具有大于所述衬底的外部尺寸的顶表面;
冷却所述电极的冷却装置;
向所述电极施加DC电压,以使所述电极的顶表面通过静电吸引保持所述衬底的DC电源部件;
降低所述处理室内压力的降压装置;
向所述处理室供给等离子体产生气体的等离子体产生气体供给部件;
通过向所述电极施加射频电压在处理室中产生等离子体的射频功率部件,
其中,所述电极的所述顶表面具有位于边界线内侧的顶表面中心区和包围该顶表面中心区的环形顶表面周边区,所述边界线从所述衬底的外周边向内距离规定长度,所述顶表面中心区内的导体被露出,所述环形顶表面周边区内的导体被绝缘涂层覆盖。
2.根据权利要求1所述的等离子体处理设备,其中,被所述电极的顶表面保持的所述衬底的所述绝缘层的外周边部分在所述外周边和所述边界线之间的区域中与所述绝缘涂层接触。
3.根据权利要求1所述的等离子体处理设备,其中,还包括覆盖所述环形绝缘涂层的外周边部分的绝缘部分。
4.根据权利要求1所述的等离子体处理设备,其中,所述绝缘涂层延伸成覆盖所述电极的部分侧表面。
5.根据权利要求1所述的等离子体处理设备,其中,所述绝缘涂层由氧化铝构成。
6.一种等离子体处理方法,其中,是导体且具有比要被等离子体处理的衬底的外部尺寸大的顶表面的电极被置于处理室中,所述衬底的前表面上具有绝缘层,所述电极的顶表面具有位于边界线内侧的顶表面中心区和包围该顶表面中心区的环形顶表面周边区,所述边界线从所述衬底的外周边向内距离规定长度,所述顶表面中心区内的导体被露出,所述环形顶表面周边区内的导体被绝缘涂层覆盖,在由所述电极的顶表面借助于静电吸引保持所述衬底并对所述电极进行冷却的状态下进行等离子体处理,其中,
将所述衬底安装在所述电极的顶表面上,使得所述衬底的所述绝缘层的中心部分和周边部分分别与所述顶表面中心区和所述顶表面周边区中的所述绝缘涂层接触;
借助于主要利用所述绝缘层的中心部分作为静电吸引的电介质将所述衬底静电吸引在所述顶表面中心区上;及
通过所述绝缘层的所述外周边部分与所述绝缘涂层紧密接触使所述电极的所述顶表面中心区与等离子体隔离。
7.根据权利要求6所述的等离子体处理方法,其中,所述衬底是所述前表面上形成有逻辑电路的半导体衬底,并且所述半导体衬底的后表面通过等离子体处理被刻蚀。
8.根据权利要求7所述的等离子体处理方法,其中,刻蚀掉在机械处理中在所述半导体衬底的所述后表面上形成的微裂纹。
CNB038170442A 2002-07-18 2003-07-14 等离子体处理设备和等离子体处理方法 Expired - Fee Related CN100429739C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002209052A JP4186536B2 (ja) 2002-07-18 2002-07-18 プラズマ処理装置
JP209052/2002 2002-07-18

Publications (2)

Publication Number Publication Date
CN1669109A true CN1669109A (zh) 2005-09-14
CN100429739C CN100429739C (zh) 2008-10-29

Family

ID=30767674

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038170442A Expired - Fee Related CN100429739C (zh) 2002-07-18 2003-07-14 等离子体处理设备和等离子体处理方法

Country Status (8)

Country Link
US (2) US7056831B2 (zh)
EP (1) EP1537596A1 (zh)
JP (1) JP4186536B2 (zh)
KR (1) KR100949953B1 (zh)
CN (1) CN100429739C (zh)
AU (1) AU2003243016A1 (zh)
TW (1) TWI289892B (zh)
WO (1) WO2004010456A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102064105A (zh) * 2006-03-22 2011-05-18 东京毅力科创株式会社 基板处理方法及存储介质
US7985699B2 (en) 2006-03-22 2011-07-26 Tokyo Electron Limited Substrate processing method and storage medium
CN106609363A (zh) * 2015-10-22 2017-05-03 Asm知识产权私人控股有限公司 包括沉积设备的半导体制造系统
CN107464751A (zh) * 2012-01-13 2017-12-12 应用材料公司 用于处理基板的方法和设备
CN109087840A (zh) * 2018-09-27 2018-12-25 中山市博顿光电科技有限公司 一种水冷式射频中和器

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3992018B2 (ja) * 2003-07-23 2007-10-17 松下電器産業株式会社 プラズマ処理装置
US20050051098A1 (en) * 2003-09-05 2005-03-10 Tooru Aramaki Plasma processing apparatus
JP3894562B2 (ja) * 2003-10-01 2007-03-22 キヤノン株式会社 基板吸着装置、露光装置およびデバイス製造方法
KR100727469B1 (ko) 2005-08-09 2007-06-13 세메스 주식회사 플라즈마 식각장치
JP4508054B2 (ja) * 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
JP4674512B2 (ja) * 2005-09-12 2011-04-20 パナソニック株式会社 プラズマ処理装置
US20070212816A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing system
US20080141509A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8894804B2 (en) * 2007-12-13 2014-11-25 Lam Research Corporation Plasma unconfinement sensor and methods thereof
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US20100018463A1 (en) * 2008-07-24 2010-01-28 Chen-Hua Yu Plural Gas Distribution System
KR101286545B1 (ko) 2008-09-23 2013-07-17 엘지디스플레이 주식회사 세정 장치
JP5075793B2 (ja) * 2008-11-06 2012-11-21 東京エレクトロン株式会社 可動ガス導入構造物及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103367089B (zh) * 2012-03-30 2016-04-06 中微半导体设备(上海)有限公司 一种具有双外壳的等离子体处理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
JP5886821B2 (ja) * 2013-01-04 2016-03-16 ピーエスケー インコーポレイテッド 基板処理装置及び方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6225837B2 (ja) * 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6380094B2 (ja) * 2014-12-26 2018-08-29 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6880076B2 (ja) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板距離の監視
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11127605B2 (en) * 2016-11-29 2021-09-21 Sumitomo Electric Industries, Ltd. Wafer holder
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN111314838B (zh) * 2020-02-25 2021-08-27 绍兴中芯集成电路制造股份有限公司 Mems麦克风器件的检测方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN112663145B (zh) * 2020-12-04 2022-10-18 通威太阳能(安徽)有限公司 一种去除lpcvd多晶硅绕镀的装置及方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JPH0845911A (ja) * 1994-07-29 1996-02-16 Nippon Steel Corp プラズマ処理装置用電極
US5792376A (en) * 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JPH08186100A (ja) * 1995-01-06 1996-07-16 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
US5847918A (en) * 1995-09-29 1998-12-08 Lam Research Corporation Electrostatic clamping method and apparatus for dielectric workpieces in vacuum processors
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JP3507331B2 (ja) * 1998-05-20 2004-03-15 松下電器産業株式会社 基板温度制御方法及び装置
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3814431B2 (ja) * 1998-12-03 2006-08-30 松下電器産業株式会社 半導体装置の製造方法
JP3531612B2 (ja) 2000-01-26 2004-05-31 松下電器産業株式会社 ワークのプラズマ処理方法
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
US6884717B1 (en) * 2002-01-03 2005-04-26 The United States Of America As Represented By The Secretary Of The Air Force Stiffened backside fabrication for microwave radio frequency wafers

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102064105A (zh) * 2006-03-22 2011-05-18 东京毅力科创株式会社 基板处理方法及存储介质
US7985699B2 (en) 2006-03-22 2011-07-26 Tokyo Electron Limited Substrate processing method and storage medium
CN107464751A (zh) * 2012-01-13 2017-12-12 应用材料公司 用于处理基板的方法和设备
CN106609363A (zh) * 2015-10-22 2017-05-03 Asm知识产权私人控股有限公司 包括沉积设备的半导体制造系统
US10358721B2 (en) 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
CN106609363B (zh) * 2015-10-22 2020-06-09 Asm知识产权私人控股有限公司 包括沉积设备的半导体制造系统
CN109087840A (zh) * 2018-09-27 2018-12-25 中山市博顿光电科技有限公司 一种水冷式射频中和器
CN109087840B (zh) * 2018-09-27 2023-11-07 中山市博顿光电科技有限公司 一种水冷式射频中和器

Also Published As

Publication number Publication date
WO2004010456A1 (en) 2004-01-29
JP4186536B2 (ja) 2008-11-26
EP1537596A1 (en) 2005-06-08
KR100949953B1 (ko) 2010-03-30
US7056831B2 (en) 2006-06-06
TWI289892B (en) 2007-11-11
TW200403752A (en) 2004-03-01
US20050247404A1 (en) 2005-11-10
AU2003243016A1 (en) 2004-02-09
US20040050496A1 (en) 2004-03-18
KR20050025621A (ko) 2005-03-14
CN100429739C (zh) 2008-10-29
JP2004055703A (ja) 2004-02-19

Similar Documents

Publication Publication Date Title
CN1669109A (zh) 等离子体处理设备和等离子体处理方法
CN100356549C (zh) 半导体晶片的切割方法和切割方法中使用的保护片
CN1261995C (zh) 被处理体的保持装置
US5539179A (en) Electrostatic chuck having a multilayer structure for attracting an object
US5255153A (en) Electrostatic chuck and plasma apparatus equipped therewith
US20050279459A1 (en) Plasma treating apparatus and plasma treating method
JP3163973B2 (ja) 半導体ウエハ・チャック装置及び半導体ウエハの剥離方法
CN1743501A (zh) 用于释放基材的方法及设备
CN1692492A (zh) 半导体器件制造方法、等离子处理设备及等离子处理方法
CN107710398A (zh) 具有射频耦合的高功率静电夹盘设计
JPH06188305A (ja) 被吸着体の離脱装置および被吸着体の離脱方法およびプラズマ処理装置
CN1228820C (zh) 等离子体处理装置以及等离子体处理方法
CN1679148A (zh) 等离子体处理方法及等离子体处理装置
JP3311812B2 (ja) 静電チャック
CN101042991A (zh) 等离子体处理装置
KR100603434B1 (ko) 플라즈마 세정장치
CN101916738B (zh) 一种易于释放晶片的静电吸盘结构及方法
JP3776061B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3901128B2 (ja) プラズマ処理装置及びプラズマ処理方法
US11424151B2 (en) Lifting device
JPH118291A (ja) 静電吸着装置
JP3695429B2 (ja) プラズマ処理装置
JP2001326269A (ja) 半導体製造装置
KR20220090895A (ko) 정전 척 어셈블리용 냉각 장치
JPH09275132A (ja) 静電吸着装置,ウエハ脱離方法、及びウエハ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081029

Termination date: 20190714

CF01 Termination of patent right due to non-payment of annual fee