JP2023527630A - 基板処理システムにおける中間リング腐食補償 - Google Patents

基板処理システムにおける中間リング腐食補償 Download PDF

Info

Publication number
JP2023527630A
JP2023527630A JP2022557679A JP2022557679A JP2023527630A JP 2023527630 A JP2023527630 A JP 2023527630A JP 2022557679 A JP2022557679 A JP 2022557679A JP 2022557679 A JP2022557679 A JP 2022557679A JP 2023527630 A JP2023527630 A JP 2023527630A
Authority
JP
Japan
Prior art keywords
edge ring
height
edge
determining
ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022557679A
Other languages
English (en)
Other versions
JP7466686B2 (ja
Inventor
ハン・フイ・リン
ラマチャンドラン・シーサーマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023527630A publication Critical patent/JP2023527630A/ja
Priority to JP2024059272A priority Critical patent/JP2024084789A/ja
Application granted granted Critical
Publication of JP7466686B2 publication Critical patent/JP7466686B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/024Moving components not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24578Spatial variables, e.g. position, distance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24585Other variables, e.g. energy, mass, velocity, time, temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

基板処理システムは、基板処理システムにおける半導体基板の処理の間、半導体基板を支持するための基板支持体アセンブリを含む。第1のエッジ・リングは、基板支持体アセンブリの周りに配置される。第1のエッジ・リングは、基板支持体アセンブリに対して可動である。第2のエッジ・リングは、基板支持体アセンブリの周りおよび第1のエッジ・リングの下に配置される。コントローラは、第1および第2のエッジ・リングの腐食に基づいて、第1のエッジ・リングの高さを補償するように構成される。【選択図】図2

Description

本開示は、一般に基板処理システムに関し、より詳細には、基板処理システムにおける中間リング腐食補償に関する。
ここに述べられる背景の説明は、本開示の関連において一般的に述べるためのものである。本明細書で名前が挙げられる発明者らの活動は、この「背景技術」の項で述べられる範囲において、および出願の時点においてそうでなければ従来技術とされ得ない本説明の態様は、明示的にも暗黙的にも、本開示に対して従来技術として認められない。
基板処理システムは通常、堆積、エッチング、および半導体ウェハなどの基板の他の処理を行うために複数の処理チャンバ(プロセス・モジュールとも呼ばれる)を含む。基板に対して行うことができるプロセスの例は、プラズマ励起化学気相堆積(PECVD)、化学強化プラズマ気相堆積(CEPVD)、スパッタリング物理気相堆積(PVD)、原子層堆積(ALD)、およびプラズマ強化ALD(PEALD)を含むが、それらに限定されない。基板に対して行うことができるプロセスのさらなる例は、エッチング(例えば、化学エッチング、プラズマ・エッチング、反応性イオン・エッチング)および洗浄処理を含むが、それらに限定されない。
処理の間、基板は、基板処理システムの処理チャンバ内のペデスタル、静電チャック(ESC)などの基板支持体上に配置される。コンピュータ制御のロボットは通常、基板が処理されることになる順序で、1つの処理チャンバから別の処理チャンバへ基板を移送する。堆積の間、1つまたは複数の前駆体を含んだガス混合物が、処理チャンバ内に導入され、化学反応を活性化するためにプラズマが打ち当てられる。エッチングの間、エッチング・ガスを含んだガス混合物が、処理チャンバ内に導入され、化学反応を活性化するためにプラズマが打ち当てられる。処理チャンバは、処理チャンバ内に洗浄ガスを供給し、プラズマを打ち当てることによって、定期的に洗浄される。
基板処理システムは、基板処理システムにおける半導体基板の処理の間、半導体基板を支持するための基板支持体アセンブリを備える。第1のエッジ・リングは、基板支持体アセンブリの周りに配置される。第1のエッジ・リングは、基板支持体アセンブリに対して可動である。第2のエッジ・リングは、基板支持体アセンブリの周りおよび第1のエッジ・リングの下に配置される。コントローラは、第1および第2のエッジ・リングの腐食に基づいて、第1のエッジ・リングの高さを補償するように構成される。
別の特徴において、コントローラは、半導体基板の処理の間に供給されるRFパワーに、第1および第2のエッジ・リングが曝される時間数に基づいて、第1および第2のエッジ・リングの腐食を決定するようにさらに構成される。
別の特徴において、コントローラは、補償された高さに従って半導体基板の処理の間、基板支持体アセンブリに対して第1のエッジ・リングを移動させるようにさらに構成される。
他の特徴において、コントローラは、第1のエッジ・リングが半導体基板の処理の間に供給されるRFパワーに曝される第1の時間数を決定するようにさらに構成される。コントローラは、半導体基板の処理により第1のエッジ・リングが腐食する第1の速度を決定するようにさらに構成される。コントローラは、第2のエッジ・リングがRFパワーに曝される第2の時間数を決定するようにさらに構成される。コントローラは、半導体基板の処理により、および第1のエッジ・リングの移動により、第2のエッジ・リングが腐食する第2の速度を決定するようにさらに構成される。コントローラは、第1および第2の時間数と、第1および第2の速度とに基づいて、第1のエッジ・リングの高さを補償するようにさらに構成される。
他の特徴において、コントローラは、第1の時間数および第1の速度に基づいて、第1のエッジ・リングの高さを補償する第1の量を決定するようにさらに構成される。コントローラは、第2の時間数および第2の速度に基づいて、第1のエッジ・リングの高さを補償する第2の量を決定するようにさらに構成される。コントローラは、第1および第2の量の和に基づいて、第1のエッジ・リングの高さを補償するようにさらに構成される。
他の特徴において、コントローラは、直前の第1のエッジ・リングに対する処理の間に用いられた、プラズマの調整可能エッジ・シースの高さの偏移を決定するようにさらに構成される。コントローラは、プラズマの調整可能エッジ・シースの高さの偏移に基づいて、および直前の第1のエッジ・リングの高さを補償するために用いられた最後の量に基づいて、第1のエッジ・リングの高さを補償するように調整係数を決定するようにさらに構成される。コントローラは、第1の時間数、第1の速度、および調整係数に基づいて、第1のエッジ・リングの高さを補償する第1の量を決定するようにさらに構成される。コントローラは、第2の時間数、第2の速度、および調整係数に基づいて、第1のエッジ・リングの高さを補償する第2の量を決定するようにさらに構成される。
別の特徴において、コントローラは、エッジ対中心エッチング速度の正規化比に基づいて、または半導体基板上の限界寸法の偏移に基づいて、プラズマの調整可能エッジ・シースの高さの偏移を決定するようにさらに構成される。
別の特徴において、調整係数は、プラズマの調整可能エッジ・シースの高さの偏移と、直前の第1のエッジ・リングの高さを補償するために用いられた最後の量の比である。
別の特徴において、コントローラは、プラズマ・オン時間に対する半導体基板上のプロセス性能に基づいて、第1の速度を決定するようにさらに構成される。
他の特徴において、コントローラは、第2のエッジ・リングがRFパワーに曝される時間数と、第2のエッジ・リングの腐食速度と、の間の相関を決定するようにさらに構成される。コントローラは、相関に基づいて、第2の速度を決定するようにさらに構成される。
他の特徴において、基板処理システムのための方法は、基板処理システム内のペデスタルの周りに、第1のエッジ・リングを配置することと、第1のエッジ・リングの下のペデスタルの周りに、第2のエッジ・リングを配置することと、第1および第2のエッジ・リングの腐食に基づいて、第1のエッジ・リングの高さを補償することとを含む。
別の特徴において、方法は、第1および第2のエッジ・リングが半導体基板の処理の間に供給されたRFパワーに曝される時間数に基づいて、第1および第2のエッジ・リングの腐食を決定することをさらに含む。
別の特徴において、方法は、補償された高さに従って半導体基板の処理の間、ペデスタルに対して第1のエッジ・リングを移動させることをさらに含む。
他の特徴において、方法は、第1のエッジ・リングが半導体基板の処理の間に供給されたRFパワーに曝される第1の時間数をカウントすることをさらに含む。方法は、半導体基板の処理により第1のエッジ・リングが腐食する第1の速度を決定することをさらに含む。方法は、第2のエッジ・リングがRFパワーに曝される第2の時間数をカウントすることをさらに含む。方法は、第2のエッジ・リングが、処理により、および第1のエッジ・リングの移動により、腐食する第2の速度を決定することをさらに含む。方法は、第1および第2の時間数と、第1および第2の速度とに基づいて、第1のエッジ・リングの高さを補償することをさらに含む。
他の特徴において、方法は、第1の時間数および第1の速度に基づいて、第1のエッジ・リングの高さを補償する第1の量を決定することをさらに含む。方法は、第2の時間数および第2の速度に基づいて、第1のエッジ・リングの高さを補償する第2の量を決定することをさらに含む。方法は、第1および第2の量の和に基づいて、第1のエッジ・リングの高さを補償することをさらに含む。
他の特徴において、方法は、直前の第1のエッジ・リングに対する処理の間に用いられた、プラズマの調整可能エッジ・シースの高さの偏移を決定することをさらに含む。方法は、プラズマの調整可能エッジ・シースの高さの偏移に基づいて、および直前の第1のエッジ・リングの高さを補償するために用いられた最後の量に基づいて、第1のエッジ・リングの高さを補償するように調整係数を決定することをさらに含む。方法は、第1の時間数、第1の速度、および調整係数に基づいて、第1のエッジ・リングの高さを補償する第1の量を決定することをさらに含む。方法は、第2の時間数、第2の速度、および調整係数に基づいて、第1のエッジ・リングの高さを補償する第2の量を決定することをさらに含む。
別の特徴において、方法は、エッジ対中心エッチング速度の正規化比に基づいて、または半導体基板上の限界寸法の偏移に基づいて、プラズマの調整可能エッジ・シースの高さの偏移を決定することをさらに含む。
別の特徴において、方法は、調整係数を、プラズマの調整可能エッジ・シースの高さの偏移と、直前の第1のエッジ・リングの高さを補償するために用いられた最後の量と、の比として決定することをさらに含む。
別の特徴において、方法は、プラズマ・オン時間に対する半導体基板上のプロセス性能に基づいて、第1の速度を決定することをさらに含む。
他の特徴において、方法は、第2のエッジ・リングがRFパワーに曝される時間数と、第2のエッジ・リングの腐食速度と、の間の相関を決定することと、相関に基づいて、第2の速度を決定することとをさらに含む。
本開示のさらなる適用性の領域は、「発明を実施するための形態」、「特許請求の範囲」、および図面から明らかになるであろう。「発明を実施するための形態」および特定の例は、例示のためのものにすぎず、本開示の範囲を限定するためのものではない。
本開示は、「課題を解決するための手段」および添付の図面から、より十分に理解されるであろう。
処理チャンバを含む基板処理システムの例を示す図である。
トップ・リングと中間リングとを含む基板支持体アセンブリの部分断面図の例を示す図である。
プラズマの調整可能エッジ・シース(TES)の高さに対する、エッジ対中心エッチング速度の比のグラフを用いた、ストローク・ロスの例を示す図である。
本開示による、トップ・リングの高さを調整するための調整係数を決定する方法を示す図である。
図4に示される方法により決定される調整係数を用いて、トップ・リングの高さを調整する方法を示す図である。
図面において、参照番号は、同様なおよび/または同一の要素を識別するために再使用され得る。
半導体基板に対してプラズマ・エッチング・プロセスを行う(通常、真空下で)処理チャンバにおいて、基板の均一なエッチングが生じるように、プラズマの成形を促進するために、基板支持体アセンブリの周りにエッジ結合リング(トップ・リングと呼ばれる)が配置される。いくらかの使用後に、トップ・リングの上部表面は、基板に対して行われるエッチング・プロセスにより、腐食を呈し得る。結果として、プラズマは、基板の半径方向に外縁を、基板の半径方向に内側の部分とは異なる速度でエッチングする傾向を有する場合があり、基板の不均一なエッチングが生じ得る。
この問題を軽減するために、トップ・リングを、その上面が腐食されるにつれて、上に移動させるために、リフト・ピンが用いられる。トップ・リングは、トップ・リングのエッジが基板の上面に対して高くなるように、徐々に上に移動される。トップ・リングの動きは、エッチングまたは他の基板処理の間、基板に対するプラズマのエッジ結合効果を変化させる。結果として、エッチング均一性が改善される。トップ・リングは、トップ・リングの寿命の間、基板支持体アセンブリより上に、トップ・リングの最適な高さを維持するように、徐々に上に移動される。
腐食による何らかの損耗量の後、トップ・リングは新しいトップ・リングに交換される。トップ・リングは、チャンバを開かずに交換され得る。具体的には、トップ・リングを処理チャンバの外に運ぶため、および真空を破らずに新しいトップ・リングを処理チャンバ内に挿入するために、ロボット・アームが用いられる。
トップ・リングの下に、基板支持体アセンブリを囲んで第2のリング(中間リング)が配置される。第2のリングは、第2のリングの下に追加の環状構造またはリング(例えば、ボトム・リング)があるので、中間部リングまたは単に中間リングと呼ばれる。可動であるトップ・リングとは異なり、中間リングは、通常その直径が処理チャンバのポートより大きいので、ロボット・アームを用いて処理チャンバから移動されることはできない。中間リングの内縁部分は通常、基板の外縁の下に延び(ウェハ・オーバーハングまたはウェハ・ポケットと呼ばれる)、部分的にプラズマに曝される。使用の間にトップ・リングが上に移動されるにつれて、中間リングは、処理チャンバ内で行われるエッチング・プロセスにより腐食し始める。しかし、処理チャンバを開かずに交換することができるトップ・リングとは異なり、中間リングはチャンバを開かずに交換することができない。
トップ・リングは腐食されたときに交換されるが、中間リングは腐食し続ける。中間リング腐食は、ウェハ・ポケットの下側で特に顕著となる。中間リング腐食はストローク・ロスを引き起こし(以下で図3を参照して説明される)、ひいてはウェハにわたるエッチング速度不均一性に寄与する。
本開示は、トップ・リングおよび中間リング腐食を補うために、トップ・リング高さを自動的に調整し、またトップ・リングの寿命にわたって完全な調整ストロークを確実にするための、中間リング腐食補償方法をもたらす。従って、平均洗浄間隔(MTBC)以内では、中間リングを交換するために処理チャンバが開かれる必要はない。言い換えれば、MTBCは延長され得る。中間リング腐食補償方法は、高いエッジ歩留まり、高いMTBC、および低い消耗品コスト(CoC)を含む恩恵をもたらす。
具体的には、トップ・リング調整ストロークにおける減少量は、特にウェハ・オーバーハングの下の位置において、中間リング腐食の量に対して直線的に変化する。その位置での中間リングの腐食速度は、RF時間数(すなわち、中間リングがRFパワーを受けるまたはそれに曝される総時間数)に対して直線的に変化する。本開示は、平均洗浄間隔(MTBC)以内に中間リングを交換するために、処理チャンバが開かれる必要がないように、中間リング腐食によるストローク・ロスを補償する方法をもたらす。
より具体的には、方法は、トップおよび中間エッジ・リングに対して用いられたRF時間を追跡し、プロセス・エッチング速度データに基づいて、ユーザ・インターフェース(UI)を通じて入力され得る調整係数を生成する。調整係数は、トップ・リングの高さを微調整し、トップ・リングの寿命にわたって完全な調整ストロークを確実にするように、中間リング腐食を補償する。これらおよび他の本開示の特徴は、以下で詳しく述べられる。
本開示は以下のように構成される。初めに、本開示による中間リング腐食補償方法がどこで用いられるかを示すために、図1を参照して処理チャンバの例が示され、説明される。図2は、トップ・リングおよび中間リングの配置の例を示す。その後に、図3~5を参照して、中間リング腐食補償方法が詳しく述べられる。
図1は、容量的に結合されたプラズマを発生させるように構成された処理チャンバ102を備える、基板処理システム100の例を示す。基板処理システム100の他の構成要素を囲む処理チャンバ102は、RFプラズマを封じ込める(用いられる場合)。処理チャンバ102は、上部電極104と、静電チャック(ESC)106または他のタイプの基板支持体とを備える。動作中、基板108はESC106上に配置される。
例えば、上部電極104は、プロセス・ガスを導入および分配するシャワーヘッドなどの、ガス分配装置110を含み得る。ガス分配装置110は、処理チャンバ102の上面に接続された一方の端部を含んだステム部分を含み得る。シャワーヘッドのベース部は、一般に円筒形であり、処理チャンバ102の上面から間隔が開けられた位置において、ステム部分の反対端から半径方向に外側に延びる。基板に面する表面、またはシャワーヘッドのベース部の面板は、気化した前駆体、プロセス・ガス、洗浄ガス、またはパージ・ガスがそれを通って流れる、複数の穴を含む。あるいは、上部電極104は、導電性板を含むことができ、ガスは別のやり方で導入され得る。
ESC106は、下部電極として作用するベースプレート112を備える。ベースプレート112は、セラミック・マルチゾーン加熱板に相当し得る、加熱板114を支持する。耐熱層116は、加熱板114とベースプレート112の間に配置され得る。ベースプレート112は、ベースプレート112を通して冷却剤を流すための1つまたは複数の流路118を含み得る。
プラズマが用いられる場合、RF発生システム(またはRF源)120は、RF電圧を発生させ、上部電極104および下部電極(例えば、ESC106のベースプレート112)の一方に出力する。上部電極104およびベースプレート112の他方は、DC接地、AC接地、またはフローティングされ得る。例えば、RF発生システム120は、RFパワーを発生させるRF発生器122を含むことができ、RFパワーは、整合および分配ネットワーク124によって、上部電極104またはベースプレート112に送り込まれる。他の例おいて、図に示されないが、プラズマは誘導的にまたは遠隔的に発生され、次いで処理チャンバ102に供給され得る。
ガス送出システム130は、1つまたは複数のガス源132-1、132-2、・・・、および132-N(まとめてガス源132)を含み、ここでNはゼロより大きな整数である。ガス源132は、バルブ134-1、134-2、・・・、および134-N(まとめてバルブ134)、ならびにマス・フロー・コントローラ136-1、136-2、・・・、および136-N(まとめてマス・フロー・コントローラ136)によって、マニホールド140に接続される。蒸気送出システム142は、気化した前駆体を、処理チャンバ102に接続されたマニホールド140または別のマニホールド(図示せず)に供給する。マニホールド140の出力は、処理チャンバ102に送り込まれる。ガス源132は、プロセス・ガス、洗浄ガス、および/またはパージ・ガスを供給し得る。
温度コントローラ150は、加熱板114内に配置された複数の熱制御要素(TCE)152に接続され得る。温度コントローラ150は、ESC106および基板108の温度を制御するように複数のTCE152を制御するために用いられ得る。温度コントローラ150は、流路118を通る冷却剤の流れを制御するために、冷却剤アセンブリ154と通信し得る。例えば、冷却剤アセンブリ154は、冷却剤ポンプ、貯蔵器、1つまたは複数の温度センサ(図示せず)を含み得る。温度コントローラ150は、ESC106を冷却するために、流路118を通して冷却剤を選択的に流すように、冷却剤アセンブリ154を動作させる。バルブ156およびポンプ158は、処理チャンバ102から反応物質を排出するために用いられ得る。
システム・コントローラ160は、基板処理システム100の構成要素を制御する。ユーザ・インターフェース(UI)170は、システム・コントローラ160を通じて基板処理システムとインターフェースする。
図2Aおよび2Bは、基板支持体アセンブリの部分断面図の例を示す。例は、基板支持体アセンブリ204を取り囲むトップ・リング200および中間リング202を示す。基板206は、基板支持体アセンブリ204上に配置される。リフト・ピン208およびアクチュエータ209は、トップ・リング200を上昇させるために用いられる。部分断面図には1つだけのリフト・ピン208が示されるが、トップ・リング200を上昇させるために、複数のリフト・ピン208およびそれぞれのアクチュエータ209が用いられることが理解される。アクチュエータ209の例は、圧電アクチュエータ、ステッパ・モータ、空圧駆動、または他の適切なアクチュエータを含む。アクチュエータ209は、システム・コントローラ160(図1に示される)によって制御される。
トップ・リング200が上昇されるにつれて(図2Bを参照)、基板206の外縁の下の中間リング202の内縁部分210(ウェハ・ポケットと呼ばれる)が腐食し始める。ウェハ・ポケットの下の中間リング202の腐食速度(すなわち、位置210での)は、RF時間(すなわち、中間リング202がRFパワーを受けるまたはそれに曝される総時間数)に対して直線的に変化する。さらに、トップ・リング200のために用いられる材料のタイプ(例えば、水晶、炭化珪素など)に関わらず、中間リング202の腐食によるトップ・リング200のストローク・ロスは、中間リング腐食に対して直線的に変化する。
図3は、グラフを用いてストローク・ロスの例を示す。グラフは、プラズマの調整可能エッジ・シース(TES)の高さに対する、ウェハのエッジ対中心エッチング速度の正規化された比の変化を示す。例えば、所与のエッチング・プロセスに対して、トップ・リング200およびTESに対するベース高さが存在し得る。TES高さは、ベース高さの上にトップ・リング200を上昇させることによってもたらされるストロークの大きさと共に増加する。例えば、トップ・リング200およびTESのベース高さは、2.57mmとすることができる。0.5mmストロークに対してTES高さは3.07mmとすることができ、1mmストロークに対してTES高さは3.57mmとすることができ、1.5mmストロークに対してTES高さは4.07mmとすることができ、および2mmストロークに対してTES高さは4.57mmとすることができる。
新しいトップ・リング200が取り付けられるたびに、中間リング202の継続する腐食はストローク・ロスを引き起こす(例えば、図3に示される1mm)。すなわち、トップ・リング200を所与の距離だけ(例えば、5mm)上昇させることは、TES高さを期待量だけ変化させない。ストローク・ロスは、中間リング202が交換されるまで、それぞれの相継ぐトップ・リング200と共に漸進的に増大する。
中間リング202が交換されるまでのストローク・ロスを補うために、プラズマのTESの高さは、トップ・リング200の高さを調整することによって操作することができ、これはひいては、ウェハにわたるエッチング速度の均一性を維持するのに役立つ。トップ・リング200の高さを調整するための調整係数は、(例えば、図1に示されるシステム・コントローラ160によって)以下のように決定される。
調整係数を決定するために、トップ・リング交換後のTES高さの偏移量が定量化される(例えば、「s」mm)。トップ・リング交換の前に決定された、トップ・リング200に対する先行の高さ補償量(例えば、「a’」mm)(以下で述べられる)が、メモリ(例えば、システム・コントローラ160の)から取り出される。調整係数はs/aである(すなわち、sをaで除算したもの)。
取り付けられたトップ・リング200に対する補償量「a」は、次のように決定される(例えば、図1に示されるシステム・コントローラ160によって)。取り付けられたトップ・リング200に対するRF時間数は、第1のカウンタ(例えば、システム・コントローラ160内の)を用いてカウントされる。第1のカウンタは、トップ・リング200が交換され、新しいトップ・リング200が取り付けられるたびにリセットされる。取り付けられた中間リング202に対するRF時間数は、第2のカウンタ(例えば、システム・コントローラ160内の)を用いてカウントされる。第2のカウンタは、トップ・リング200が交換されるたびにはリセットされない。第2のカウンタは、中間リング202が交換されるまでリセットされない。
取り付けられたトップ・リング200の腐食速度が決定される(例えば、図1に示されるシステム・コントローラ160によって)。例えば、取り付けられたトップ・リング200の腐食速度は、取り付けられたトップ・リング200がRFパワーを受けるまたはそれに曝されるRF時間数に基づいて、システム・コントローラ160によって経験的に決定され得る。あるいは、トップ・リング200は、エアロック・チャンバに運ぶことができ、トップ・リング200をスキャンし、スキャニングによって集められたデータからトップ・リング200に対する腐食を測定するために、光学測定システムなどの測定システムが用いられ得る。これらの測定を定期的に(例えば、毎日)繰り返すことによって、トップ・リング200の腐食速度が決定され得る。
中間リング202の腐食速度は、第2のカウンタによって(例えば、図1に示されるシステム・コントローラ160によって)カウントされた、RF時間数に基づいて決定される。例えば、所与のエッチング・プロセスに対して、中間リング202のRF時間数と腐食速度との間の相関を確立するために、経験的データが用いられ得る。相関と、第2のカウンタによってカウントされたRF時間数とを用いて、中間リング202の腐食速度が決定される。
取り付けられたトップ・リング200に対する合計の補償「a」は、取り付けられたトップ・リング200に対するトップ・リング補償と、中間リング202に対する中間リング補償との、2つの項(図1に示されるシステム・コントローラ160によって決定される)の和である。トップ・リング補償は、トップ・リング腐食速度と、第1のカウンタのRF時間カウントと、第3の項(1-調整係数)または(1-(s/a’))との積(すなわち、乗算)であり、ここでa’はトップ・リング交換の前のトップ・リングに対する最後の補償量であり、これはシステム・コントローラ160内のメモリに記憶され得る。中間リング補償は、中間リング腐食速度と、第2のカウンタのRF時間カウントと、調整係数(すなわち、s/a’)に等しい第3の項との積(すなわち、乗算)である。
図4は、本開示による、トップ・リング200の高さを調整するために用いる調整係数を決定する方法300を示す。例えば、方法300は、図1に示されるシステム・コントローラ160によって行うことができる。302で、方法300は、トップ・リングが、新しいトップ・リングによって交換されるかどうかを決定する。トップ・リングが交換される場合は、304で、方法300は、新しいトップ・リングを用いてウェハに対して行われるエッチング・プロセスのためのエッチング速度データを収集し、ウェハに対するエッジ対中心エッチング速度の比、またはTES高さに対するウェハ限界寸法(CD)の感受性を正規化する。
306で、TES高さに対するウェハ限界寸法(CD)の正規化比または感受性に基づいて、方法300は、交換されるトップ・リングに対するTES高さと比べた、新しいトップ・リングに対するTESの高さの偏移量を定量化(すなわち、測定または決定)する。308で、方法308は、トップ・リングを交換する前にメモリ(例えば、システム・コントローラ160の)に記憶された、交換されるトップ・リングに対する高さ補償量(a’)の最後の値を取り出す。310で、方法300は、新しいトップ・リングの高さを調整するための調整係数を、比(s/a’)として決定する。
図5は、方法300に従って決定される調整係数を用いて、新しいトップ・リングの高さを調整する方法400を示す。402で、方法400は、新たに取り付けられたトップ・リングに対するRF時間数(RFH)を、カウントする(第1のRFHカウントと呼ばれる)。404で、方法400は、中間リングに対するRF時間数をカウントする(第2のRFHカウントと呼ばれる)。406で、方法400は、プロセスに対する腐食の影響を補償するためのTES補償率(mm/RF時間)を決定する(例えば、プラズマ・オン時間に対する半導体基板上のプロセス性能に基づいて)。408で、方法400は、中間リングの腐食速度を決定する。410で、方法400は、新たに取り付けられたトップ・リングに対する補償量を決定し、これは積、第1のRFHカウント×TES補償率×(1-(s/a’))に等しく、(s/a’)は方法300に従って決定される。
412で、方法400は、中間リングに対する補償を決定し、これは積、第2のRFHカウント×TES補償率×(s/a’)に等しく、(s/a’)は方法300に従って決定される。414で、方法400は、新たに取り付けられたトップ・リングの高さに対する合計の補償量を決定し、これはトップ・リング補償と中間リング補償との和である。合計の補償量によりトップ・リングの高さを補償することによって、中間リングの腐食によるストローク・ロスが補償される。
上記の説明は、本質的に単に例示的なものであり、本開示、その応用例、または使用を限定するものではない。本開示の広範な教示は、多様な形で実施され得る。従って、図面、仕様書、および以下の「特許請求の範囲」の考察から、他の変形形態は明らかとなるので、本開示は特定の例を含むが本開示の真の範囲はそのように限定されない。
方法における1つまたは複数のステップは、本開示の原理を変更せずに、異なる順序で(または並行して)実行され得ることが理解されるべきである。さらに、実施形態のそれぞれは、一定の特徴を有するものとして上述されているが、本開示のいずれかの実施形態に関して述べられるそれらの特徴の任意の1つまたは複数は、他の実施形態の任意のものの特徴において実施され、および/または組み合わせが明示的に述べられなくてもそれらと組み合わされ得る。言い換えれば、述べられる実施形態は相互に排他的ではなく、1つまたは複数の実施形態の互いの置換は、本開示の範囲内である。
要素の間(例えば、モジュール、回路要素、半導体層などの間)の空間的および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接した」、「の隣に」、「の上部に」、「の上」、「の下」、および「配置された」を含む、様々な用語を用いて述べられる。「直接」であることが明示的に述べられない限り、上記の開示において第1および第2の要素の間の関係が述べられるとき、関係は、第1および第2の要素の間に他の介在する要素がない直接の関係とすることができるが、第1および第2の要素の間に1つまたは複数の介在する要素が存在する(空間的または機能的に)間接的関係であってもよい。本明細書で用いられる、A、B、およびCの少なくとも1つという語句は、非排他的論理ORを用いて、論理(A OR B OR C)を意味すると解釈されるべきであり、Aの少なくとも1つ、Bの少なくとも1つ、およびCの少なくとも1つ、を意味すると解釈されるべきではない。
いくつかの実装形態において、コントローラはシステムの一部であり、これは上述の例の一部となり得る。このようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、処理のための1つまたは複数のプラットフォーム、および/または特定の処理構成要素(ウェハペデスタル、ガス流システムなど)を含む、半導体処理装置を備えることができる。これらのシステムは、半導体ウェハまたは基板の処理の前、その間、およびその後の、それらの動作を制御するための電子機器と統合され得る。電子機器は「コントローラ」と呼ぶことができ、これは1つまたは複数のシステムの様々な構成要素またはサブパーツを制御し得る。
コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書で開示されるプロセスの任意のものを制御するようにプログラミングされ得、プロセスは、処理ガスの送出、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、パワー設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送出設定、位置的および作業設定、特定のシステムに接続されたまたはインターフェースされたツールおよび他の移送ツールおよび/またはロード・ロック内へのおよびそれらからのウェハ移送を含む。
大まかに言えば、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄作業を可能にする、エンドポイント測定を可能にするなどの、様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義され得る。集積回路は、プログラム命令を含むファームウェアの形でのチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つまたは複数のマイクロプロセッサもしくはマイクロコントローラを含み得る。
プログラム命令は、様々な個別の設定(またはプログラム・ファイル)の形でコントローラに通信される命令とすることができ、半導体ウェハに対してもしくはそのためにまたはシステムに対して、特定のプロセスを遂行するための作業パラメータを定義する。作業パラメータは、いくつかの実施形態において、1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウェハのダイの、製造の間に1つまたは複数の処理ステップを達成するために、プロセス・エンジニアによって定義されるレシピの一部とすることができる。
コントローラは、いくつかの実装形態において、システムに統合された、システムに結合された、他の形でシステムにネットワーク化された、またはそれらの組み合わせの、コンピュータの一部とするまたはそれに結合され得る。例えば、コントローラは、「クラウド」、または製造工場ホスト・コンピュータ・システムのすべてもしくは一部内に存在してよく、これはウェハ処理のリモート・アクセスを可能にする。コンピュータは、製造作業の現在の進行を監視する、過去の製造作業の履歴を調べる、複数の製造作業から傾向もしくは性能メトリクスを調べる、現在の処理のパラメータを変更する、現在の処理に続く処理ステップを設定する、または新たなプロセスを開始するために、システムへのリモート・アクセスを可能にすることができる。
いくつかの例において、リモート・コンピュータ(例えば、サーバ)は、ネットワークを通してシステムにプロセス・レシピをもたらすことができ、ネットワークはローカル・ネットワークまたはインターネットを含み得る。リモート・コンピュータはユーザ・インターフェースを含むことができ、ユーザ・インターフェースはパラメータおよび/または設定の入力もしくはプログラミングを可能にし、次いでそれらはリモート・コンピュータからシステムに通信される。いくつかの例において、コントローラは、1つまたは複数の作業の間に行われることになる処理ステップのそれぞれに対するパラメータを指定する、データの形で命令を受信する。パラメータは、行われることになるプロセスのタイプ、およびコントローラがそれとインターフェースするまたはそれを制御するように構成されるツールのタイプに固有とすることができる。
従って上述されたようにコントローラは、一緒にネットワーク化された1つまたは複数の個別のコントローラを備えること、および本明細書で述べられるプロセスおよび制御など、共通の目的に向かって働くことなどによって、分散され得る。このような目的のために分散されたコントローラの例は、一緒になってチャンバ上のプロセスを制御する、遠隔に配置された1つまたは複数の集積回路(プラットフォーム・レベルで、またはリモート・コンピュータの一部として)と通信するチャンバ上の1つまたは複数の集積回路であろう。
限定せずに、例示のシステムは、プラズマ・エッチング・チャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンス・チャンバまたはモジュール、金属メッキ・チャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベル・エッジ・エッチング・チャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラック・チャンバまたはモジュール、および半導体ウェハの製造および/または生産に関連したもしくはそこで使用され得る任意の他の半導体処理システムを含み得る。
上記で留意されたように、ツールによって行われることになる1つまたは複数のプロセス・ステップに応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタ・ツール、他のツール・インターフェース、隣接するツール、近傍のツール、工場全体にわたって位置するツール、メイン・コンピュータ、他のコントローラ、または半導体生産工場内のツールの位置および/またはロード・ポートへまたはそれらからウェハの容器を運ぶ材料輸送において用いられるツールの、1つまたは複数と通信し得る。

Claims (20)

  1. 基板処理システムであって、
    前記基板処理システムにおける半導体基板の処理の間、前記半導体基板を支持するための基板支持体アセンブリと、
    前記基板支持体アセンブリの周りに配置された第1のエッジ・リングであって、前記基板支持体アセンブリに対して可動である、第1のエッジ・リングと、
    前記基板支持体アセンブリの周りおよび前記第1のエッジ・リングの下に配置された第2のエッジ・リングと、
    前記第1および第2のエッジ・リングの腐食に基づいて、前記第1のエッジ・リングの高さを補償するように構成されたコントローラと
    を備える基板処理システム。
  2. 請求項1に記載の基板処理システムであって、前記コントローラは、前記半導体基板の前記処理の間に供給されるRFパワーに、前記第1および第2のエッジ・リングが曝される時間数に基づいて、前記第1および第2のエッジ・リングの腐食を決定するようにさらに構成される、基板処理システム。
  3. 請求項1に記載の基板処理システムであって、前記コントローラは、前記補償された高さに従って前記半導体基板の前記処理の間、前記基板支持体アセンブリに対して前記第1のエッジ・リングを移動させるようにさらに構成される、基板処理システム。
  4. 請求項1に記載の基板処理システムであって、前記コントローラは、
    前記第1のエッジ・リングが前記半導体基板の前記処理の間に供給されるRFパワーに曝される第1の時間数を決定することと、
    前記半導体基板の処理により前記第1のエッジ・リングが腐食する第1の速度を決定することと、
    前記第2のエッジ・リングが前記RFパワーに曝される第2の時間数を決定することと、
    前記半導体基板の前記処理により、および前記第1のエッジ・リングの移動により、前記第2のエッジ・リングが腐食する第2の速度を決定することと、
    前記第1および第2の時間数と、前記第1および第2の速度とに基づいて、前記第1のエッジ・リングの前記高さを補償することと
    を行うようにさらに構成される、基板処理システム。
  5. 請求項4に記載の基板処理システムであって、前記コントローラは、
    前記第1の時間数および前記第1の速度に基づいて、前記第1のエッジ・リングの前記高さを補償する第1の量を決定することと、
    前記第2の時間数および前記第2の速度に基づいて、前記第1のエッジ・リングの前記高さを補償する第2の量を決定することと、
    前記第1および第2の量の和に基づいて、前記第1のエッジ・リングの前記高さを補償することと
    を行うようにさらに構成される、基板処理システム。
  6. 請求項5に記載の基板処理システムであって、前記コントローラは、
    直前の第1のエッジ・リングに対する、前記処理の間に用いられた、プラズマの調整可能エッジ・シースの高さの偏移を、決定することと、
    プラズマの前記調整可能エッジ・シースの高さの前記偏移に基づいて、および前記直前の第1のエッジ・リングの高さを補償するために用いられた最後の量に基づいて、前記第1のエッジ・リングの前記高さを補償するように調整係数を決定することと、
    前記第1の時間数、前記第1の速度、および前記調整係数に基づいて、前記第1のエッジ・リングの前記高さを補償する前記第1の量を決定することと、
    前記第2の時間数、前記第2の速度、および前記調整係数に基づいて、前記第1のエッジ・リングの前記高さを補償する前記第2の量を決定することと
    を行うようにさらに構成される、基板処理システム。
  7. 請求項6に記載の基板処理システムであって、前記コントローラは、エッジ対中心エッチング速度の正規化比に基づいて、または前記半導体基板上の限界寸法の偏移に基づいて、プラズマの前記調整可能エッジ・シースの高さの前記偏移を決定するようにさらに構成される、基板処理システム。
  8. 請求項6に記載の基板処理システムであって、前記調整係数は、プラズマの前記調整可能エッジ・シースの高さの前記偏移と、前記直前の第1のエッジ・リングの前記高さを補償するために用いられた前記最後の量の比である、基板処理システム。
  9. 請求項4に記載の基板処理システムであって、前記コントローラは、プラズマ・オン時間に対する前記半導体基板上のプロセス性能に基づいて、前記第1の速度を決定するようにさらに構成される、基板処理システム。
  10. 請求項4に記載の基板処理システムであって、前記コントローラは、
    前記第2のエッジ・リングが前記RFパワーに曝される時間数と、前記第2のエッジ・リングの腐食速度と、の間の相関を決定することと、
    前記相関に基づいて、前記第2の速度を決定することと
    を行うようにさらに構成される、基板処理システム。
  11. 基板処理システムのための方法であって、
    前記基板処理システム内のペデスタルの周りに、第1のエッジ・リングを配置することと、
    前記第1のエッジ・リングの下の前記ペデスタルの周りに、第2のエッジ・リングを配置することと、
    前記第1および第2のエッジ・リングの腐食に基づいて、前記第1のエッジ・リングの高さを補償することと
    を含む、方法。
  12. 請求項11に記載の方法であって、前記第1および第2のエッジ・リングが半導体基板の処理の間に供給されたRFパワーに曝される時間数に基づいて、前記第1および第2のエッジ・リングの腐食を決定することをさらに含む、方法。
  13. 請求項11に記載の方法であって、前記補償された高さに従って半導体基板の処理の間、前記ペデスタルに対して前記第1のエッジ・リングを移動させることをさらに含む、方法。
  14. 請求項11に記載の方法であって、
    前記第1のエッジ・リングが半導体基板の処理の間に供給されたRFパワーに曝される第1の時間数をカウントすることと、
    前記半導体基板の前記処理により前記第1のエッジ・リングが腐食する第1の速度を決定することと、
    前記第2のエッジ・リングが前記RFパワーに曝される第2の時間数をカウントすることと、
    前記第2のエッジ・リングが前記処理により、および前記第1のエッジ・リングの移動により、腐食する第2の速度を決定することと、
    前記第1および第2の時間数と、前記第1および第2の速度とに基づいて、前記第1のエッジ・リングの前記高さを補償することと、
    をさらに含む、方法。
  15. 請求項14に記載の方法であって、
    前記第1の時間数および前記第1の速度に基づいて、前記第1のエッジ・リングの前記高さを補償する第1の量を決定することと、
    前記第2の時間数および前記第2の速度に基づいて、前記第1のエッジ・リングの前記高さを補償する第2の量を決定することと、
    前記第1および第2の量の和に基づいて、前記第1のエッジ・リングの前記高さを補償することと
    をさらに含む、方法。
  16. 請求項15に記載の方法であって、
    直前の第1のエッジ・リングに対する、前記処理の間に用いられた、プラズマの調整可能エッジ・シースの高さの偏移を、決定することと、
    プラズマの前記調整可能エッジ・シースの高さの前記偏移に基づいて、および前記直前の第1のエッジ・リングの高さを補償するために用いられた最後の量に基づいて、前記第1のエッジ・リングの前記高さを補償するように調整係数を決定することと、
    前記第1の時間数、前記第1の速度、および前記調整係数に基づいて、前記第1のエッジ・リングの前記高さを補償する前記第1の量を決定することと、
    前記第2の時間数、前記第2の速度、および前記調整係数に基づいて、前記第1のエッジ・リングの前記高さを補償する前記第2の量を決定することと
    をさらに含む、方法。
  17. 請求項16に記載の方法であって、エッジ対中心エッチング速度の正規化比に基づいて、または前記半導体基板上の限界寸法の偏移に基づいて、プラズマの前記調整可能エッジ・シースの高さの前記偏移を決定することをさらに含む、方法。
  18. 請求項16に記載の方法であって、前記調整係数を、プラズマの前記調整可能エッジ・シースの高さの前記偏移と、前記直前の第1のエッジ・リングの前記高さを補償するために用いられた前記最後の量と、の比として決定することをさらに含む、方法。
  19. 請求項14に記載の方法であって、プラズマ・オン時間に対する前記半導体基板上のプロセス性能に基づいて、前記第1の速度を決定することをさらに含む、方法。
  20. 請求項14に記載の方法であって、
    前記第2のエッジ・リングが前記RFパワーに曝される時間数と、前記第2のエッジ・リングの腐食速度と、の間の相関を決定することと、
    前記相関に基づいて、前記第2の速度を決定することと
    をさらに含む、方法。
JP2022557679A 2020-03-23 2020-03-23 基板処理システムにおける中間リング腐食補償 Active JP7466686B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2024059272A JP2024084789A (ja) 2020-03-23 2024-04-02 基板処理システムにおける中間リング腐食補償

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2020/024333 WO2021194470A1 (en) 2020-03-23 2020-03-23 Mid-ring erosion compensation in substrate processing systems

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024059272A Division JP2024084789A (ja) 2020-03-23 2024-04-02 基板処理システムにおける中間リング腐食補償

Publications (2)

Publication Number Publication Date
JP2023527630A true JP2023527630A (ja) 2023-06-30
JP7466686B2 JP7466686B2 (ja) 2024-04-12

Family

ID=77892124

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2022557679A Active JP7466686B2 (ja) 2020-03-23 2020-03-23 基板処理システムにおける中間リング腐食補償
JP2024059272A Pending JP2024084789A (ja) 2020-03-23 2024-04-02 基板処理システムにおける中間リング腐食補償

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2024059272A Pending JP2024084789A (ja) 2020-03-23 2024-04-02 基板処理システムにおける中間リング腐食補償

Country Status (5)

Country Link
US (1) US20230162953A1 (ja)
JP (2) JP7466686B2 (ja)
KR (1) KR20220156066A (ja)
CN (1) CN115315775A (ja)
WO (1) WO2021194470A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
WO2019112903A1 (en) * 2017-12-05 2019-06-13 Lam Research Corporation System and method for edge ring wear compensation

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017131927A1 (en) * 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
JP6888007B2 (ja) 2016-01-26 2021-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハエッジリングの持ち上げに関する解決
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10921251B2 (en) * 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US10553404B2 (en) * 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP7055039B2 (ja) 2017-03-22 2022-04-15 東京エレクトロン株式会社 基板処理装置
WO2019112903A1 (en) 2017-12-05 2019-06-13 Lam Research Corporation System and method for edge ring wear compensation
JP7061918B2 (ja) 2018-04-23 2022-05-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法

Also Published As

Publication number Publication date
CN115315775A (zh) 2022-11-08
US20230162953A1 (en) 2023-05-25
JP7466686B2 (ja) 2024-04-12
WO2021194470A1 (en) 2021-09-30
JP2024084789A (ja) 2024-06-25
KR20220156066A (ko) 2022-11-24

Similar Documents

Publication Publication Date Title
CN107768275B (zh) 衬底处理系统和处理在衬底处理系统中的衬底的方法
US11984296B2 (en) Substrate support with improved process uniformity
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
CN110383454B (zh) 底部边缘环和中部边缘环
CN110506326B (zh) 可移动的边缘环设计
US20160211166A1 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
CN113506719B (zh) 包括具有高纯sp3键的cvd金刚石涂层的部件
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
JP2024084789A (ja) 基板処理システムにおける中間リング腐食補償
WO2019217185A1 (en) Edge ring focused deposition during a cleaning process of a processing chamber
US11515128B2 (en) Confinement ring with extended life
TW202137276A (zh) 基板處理系統中的中間環侵蝕補償
US20220285136A1 (en) Edge ring systems for substrate processing systems
US20230369025A1 (en) High precision edge ring centering for substrate processing systems
EP3987081A1 (en) Reduced diameter carrier ring hardware for substrate processing systems

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230323

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240305

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240402

R150 Certificate of patent or registration of utility model

Ref document number: 7466686

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150