KR101306315B1 - 화학기상증착 장치 - Google Patents

화학기상증착 장치 Download PDF

Info

Publication number
KR101306315B1
KR101306315B1 KR1020110002779A KR20110002779A KR101306315B1 KR 101306315 B1 KR101306315 B1 KR 101306315B1 KR 1020110002779 A KR1020110002779 A KR 1020110002779A KR 20110002779 A KR20110002779 A KR 20110002779A KR 101306315 B1 KR101306315 B1 KR 101306315B1
Authority
KR
South Korea
Prior art keywords
gas
chemical vapor
vapor deposition
deposition apparatus
shower head
Prior art date
Application number
KR1020110002779A
Other languages
English (en)
Other versions
KR20120081437A (ko
Inventor
허윤성
박승일
Original Assignee
주식회사 디엠에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 디엠에스 filed Critical 주식회사 디엠에스
Priority to KR1020110002779A priority Critical patent/KR101306315B1/ko
Priority to US13/217,423 priority patent/US8980006B2/en
Priority to TW100133860A priority patent/TWI514445B/zh
Priority to CN201110280169.3A priority patent/CN102586755B/zh
Publication of KR20120081437A publication Critical patent/KR20120081437A/ko
Application granted granted Critical
Publication of KR101306315B1 publication Critical patent/KR101306315B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

화학기상증착 장치가 개시된다. 본 발명의 일 측면에 따르면, 반응 공간을 구획하는 공정챔버, 반응 공간의 상측에 위치하며, 중앙에 가스 도입부가 구비되는 백 플레이트(back plate), 가스 도입부의 하측에 이격되어 배치되고 제1 결합부재에 의해 백 플레이트에 결합되며, 가스 도입부를 통해 공급되는 공정가스를 확산시키는 가스확산부재, 백 플레이트 및 가스확산부재의 하측에 이격되어 배치되고 중앙부가 제2 결합부재에 의해 가스확산부재에 결합되며, 복수 개의 분사홀이 천공된 샤워헤드, 샤워헤드의 하측에 이격되어 배치되며, 기판을 지지하는 서셉터(susceptor)를 포함하며, 가스확산부재에는, 가스 도입부를 통해 공급되는 공정가스가 가스확산부재의 하측으로 확산되도록, 가스확산부재를 상하 방향으로 관통하는 복수의 가스 유도홀이 형성되는 것을 특징으로 하는 화학기상증착 장치가 제공된다.

Description

화학기상증착 장치{APPARATUS FOR CHEMICAL VAPOR DEPOSITION}
본 발명은 화학기상증착 장치에 관한 것이다.
일반적으로 물질에 박막을 형성하는 방법은 스퍼터링(Sputtering)법과 같이 증착원의 물질과 박막 물질의 물리적 성질이 일치하게 박막을 형성하는 PVD(Physical Vapor Deposition)법과 그렇지 않고 화학반응을 이용하여 증착원의 물질과 물리적 성질이 다른 박막을 형성하는 CVD(Chemical Vapor Deposition)법으로 구분될 수 있다.
그러나 PVD법은 CVD법에 비하여 조성이나 두께의 균일도 및 계단 도포성(step coverage)이 좋지 못하므로 일반적으로 CVD법이 흔히 사용된다. CVD법에는 APCVD(Atmospheric Pressure CVD)법, LPCVD(Low Pressure CVD)법, PECVD(Plasma Enhanced CVD)법 등이 있다.
CVD법 중에서도, 저온증착이 가능하고 박막형성속도가 빠른 장점 때문에 최근에는 PECVD법이 많이 이용되고 있다. PECVD법은 반응챔버 내로 주입된 반응가스에 고주파전력(RF Power)을 인가하여 반응가스를 플라즈마 상태로 만들고, 플라즈마 내에 존재하는 레디칼(radical)들을 웨이퍼나 유리 기판 상에 증착되도록 하는 방법을 말한다.
박막증착공정은 그것이 어떠한 방법을 채택하든지 간에 균일한 박막증착이 최대의 관건이라 할 수 있으므로, 이를 위해 수많은 개선 방안들이 제안되고 있으며, 균일한 박막증착을 위해서는 반응가스나 플라즈마의 균일한 분포가 아주 중요한 역할을 하게 된다.
PECVD 장치는 박막화 공정에서 빼놓을 수 없는 매우 중요한 장비이며, 대규모 요구 산출량과 더불어 장치 규모도 점차 초대형화로 커지고 있다. 최근에 평판형 디스플레이 제조공정에 작용되는 PECVD 장치는 기판 한 변의 크기가 2 미터를 훌쩍 넘는 초 대형 크기이기 때문에 원하는 품질의 박막을 얻기 위해서는 장비의 세부 기능도 보다 정밀하게 구성되어 있어야 한다.
본 발명은 대면적 박막 제조용 PECVD 장치 내부에서 박막 두께 균일도를 확보하기 위한 방안으로 가스 분사기능을 향상시킬 수 있는 아이디어와 가스 분사면의 열팽창으로 인한 뒤틀림 현상을 최소화할 수 있는 방안을 제시하고 있다.
도 1은 일반적인 PECVD장치의 개략적인 구성도이며, 도면을 참조하여 공정순서대로 간략히 설명하면 다음과 같다.
먼저 로봇암(미도시)에 의해 기판(3)이 반응챔버(1)의 내부에 설치된 서셉터(2)의 상면에 안착되면, 박막공정을 위한 가스가 가스유입관(7)을 통해 샤워헤드(4)의 상부에 위치한 버퍼공간(5)으로 들어와서 넓게 확산된다. 버퍼공간(5)에서 확산된 가스는 샤워헤드(4)의 분사구(4a)를 통해 기판(3) 위로 균일하게 분사되며, 상기 분사된 가스는 플라즈마 전극(6)을 통해 공급된 RF(Radio Frequency)파워에 의해 플라즈마(8)상태로 변화된다. 상기 플라즈마(8)상태의 반응가스는 상기 기판(3)에 증착되며, 박막증착공정이 완료된 후 남은 반응가스는 진공펌프(미도시)에 의해 배기관(9)으로 배출된다.
그런데, 이러한 PECVD장치에 있어서, 샤워헤드(4)는 도 2에 도시된 바와 같이 자체 하중과 열적 변형으로 인해 중심부분에서 아래방향으로 처짐 현상이 나타나는 문제점이 있다. 열적 변형은 고온 플라즈마와 서셉터(2)에 내장된 히터(미도시)로부터의 열전달로 인한 열팽창 때문에 발생하는데, 열팽창은 두께방향(상하방향)보다 수평방향의 열팽창이 더 크게 된다.
샤워헤드(4)의 중심부가 하부로 처지는 굽힘현상이 발생하는 경우에는 샤워헤드(4)와 서셉터(2) 간의 간격이 중심부에서 가깝고 주변부에서는 멀어지게 되므로, 분사된 공정가스의 분포밀도가 불균일해지며 결과적으로 공정 균일도가 저하되는 요인이 되고 있다.
본 발명은 공정 가스의 원활한 흐름을 가능케 하고, 샤워헤드의 열팽창 왜곡 현상을 최소화 시킬 수 있는 화학기상증착 장치를 제공하는 것이다.
본 발명의 일 측면에 따르면, 반응 공간을 구획하는 공정챔버, 반응 공간의 상측에 위치하며, 중앙에 가스 도입부가 구비되는 백 플레이트(back plate), 가스 도입부의 하측에 이격되어 배치되고 제1 결합부재에 의해 백 플레이트에 결합되며, 가스 도입부를 통해 공급되는 공정가스를 확산시키는 가스확산부재, 백 플레이트 및 가스확산부재의 하측에 이격되어 배치되고 중앙부가 제2 결합부재에 의해 가스확산부재에 결합되며, 복수 개의 분사홀이 천공된 샤워헤드, 샤워헤드의 하측에 이격되어 배치되며, 기판을 지지하는 서셉터(susceptor)를 포함하며, 가스확산부재에는, 가스 도입부를 통해 공급되는 공정가스가 가스확산부재의 하측으로 확산되도록, 가스확산부재를 상하 방향으로 관통하는 복수의 가스 유도홀이 형성되는 것을 특징으로 하는 화학기상증착 장치가 제공된다.
복수의 가스 유도홀은 가스확산부재의 중심을 기준으로 원주 방향을 따라 일정한 간격으로 배치될 수 있다.
가스확산부재는, 지지 플레이트, 및 지지 플레이트의 상면에 형성되는 돌출부재를 포함할 수 있다.
가스 유도홀은 돌출부재에 형성될 수 있다.
가스확산부재에는 가스 유도홀의 하단부를 연결하는 링 형상의 확산채널이 형성될 수 있다.
확산채널은 하측으로 갈수록 너비가 증가하는 사각 형상의 단면을 가질 수 있다.
화학기상증착 장치는 가스확산부재의 하면에 확산채널을 커버하도록 설치되는 확산판을 더 포함하고, 확산판에는 가스 유도홀의 중심으로부터 편심된 복수의 확산홀이 형성될 수 있다.
확산홀의 개수는 가스 유도홀의 개수보다 많을 수 있다.
복수의 확산홀은 가스확산부재의 중심을 기준으로 원주 방향을 따라 일정한 간격으로 배치될 수 있다.
가스확산부재의 하면에는 확산판이 수용되는 수용홈이 형성될 수 있다.
확산홀의 상측 단부는 상측으로 갈수록 단면적이 증가할 수 있다.
확산홀의 하측 단부는 하측으로 갈수록 단면적이 증가할 수 있다.
지지 플레이트의 측면은 지지 플레이트의 중심 측으로 기울어질 수 있다.
공정챔버는 직육면체 형상이고, 지지 플레이트는 원판 형상이며, 돌출부재는 사각뿔 형상이고, 돌출부재의 각 측면은 공정챔버의 모서리를 향하여 배치될 수 있다.
제1 결합부재는, 돌출부재의 중심과 돌출부재의 모서리를 통과하는 직선 경로 상에 위치할 수 있다.
공정챔버는 직육면체 형상이고, 지지 플레이트는 사각판 형상이며, 돌출부재는 원뿔 형상이고, 지지 플레이트의 각 측면은 공정챔버의 모서리를 향하여 배치될 수 있다.
제1 결합부재는, 돌출부재의 중심과 지지 플레이트의 모서리를 통과하는 직선 경로 상에 위치할 수 있다.
제1 결합부재와 제2 결합부재 중 적어도 어느 하나는 나사일 수 있다.
화학기상증착 장치는 샤워헤드의 가장자리를 지지하도록 제3 결합부재를 통해 백 플레이트와 결합되며, 샤워헤드의 측면에 소정의 갭(gap)을 두고 위치하는 클램프 부재를 더 포함할 수 있다.
화학기상증착 장치는 클램프 부재와 백 플레이트 사이에 개재되며, 일측은 백 플레이트의 하면과 접촉하고, 타측은 샤워헤드의 상면과 접촉하는 열저항 부재를 더 포함할 수 있다.
클램프 부재는, 샤워헤드의 하면을 지지하기 위한 수평부분과, 샤워헤드의 측면을 지지하기 위한 수직부분을 포함하며, 샤워헤드의 가장자리 하부에는, 클램프 부재의 수평부분과 맞물리도록 홈이 형성될 수 있다.
삭제
삭제
샤워헤드의 가장자리에는 타원형 장공홀이 형성되고, 화학기상증착 장치는 클램프 부재의 수평부분을 관통하여 장공홀에 삽입되는 제4 결합부재를 더 포함할 수 있다.
샤워헤드는 사각판 형상이고, 클램프 부재, 타원형 장공홀 및 제4 결합부재는 샤워헤드의 각 측면마다 마련될 수 있다.
장공홀 및 제4 결합부재는, 샤워헤드의 각 측면마다 각각 한 쌍씩 마련될 수 있다.
백 플레이트의 하측 단부에는 가스 도입부 보다 큰 단면적을 갖는 확장홈이 형성되고, 가스확산부재의 적어도 일부는 확장홈 내에 위치할 수 있다.
서셉터의 내부에는 열선이 내장될 수 있다.
샤워헤드는 알루미늄 또는 알루미늄 합금 재질로 이루어질 수 있다.
본 발명의 바람직한 실시예에 따르면, 샤워헤드의 열팽창 왜곡 현상을 최소화 시켜, 양질의 균일한 대면적 박막을 얻을 수 있다.
도 1은 종래기술에 따른 PECVD 장치를 나타내는 단면도.
도 2는 종래기술에 따른 샤워헤드의 휨 현상을 나타내는 도면.
도 3은 본 발명의 일 실시예에 따른 화학기상증착 장치를 나타내는 단면도.
도 4는 도 3의 'A' 부분을 확대하여 나타내는 도면.
도 5는 도 3의 'B' 부분을 확대하여 나타내는 도면.
도 6은 장공홀이 형성된 샤워헤드를 나타내는 평면도.
도 7은 종래기술에 따른 PECVD 장치에서 가스 도입부를 통해 진공용기 내로 유입된 공정가스가 확산되는 모습을 나타내는 도면.
도 8은 본 발명의 일 실시예에 따른 가스확산부재를 나타내는 사시도.
도 9는 도 8의 가스확산부재가 적용된 PECVD 장치에서 가스 도입부를 통해 진공용기 내로 유입된 공정가스가 확산되는 모습을 나타내는 도면.
도 10은 본 발명의 일 실시예에 따른 가스확산부재를 나타내는 평면도.
도 11은 본 발명의 일 실시예에 따른 가스확산부재에서 확산판을 제거한 상태를 나타내는 저면도.
도 12는 본 발명의 일 실시예에 따른 가스확산부재를 나타내는 저면도.
도 13은 본 발명의 일 실시예에 따른 가스확산부재를 통한 공정가스의 유동을 나타내는 도면.
도 14는 도 12의 AA 선에 따른 단면도.
도 15는 도 12의 BB 선에 따른 단면도.
도 16은 도 12의 CC 선에 따른 단면도.
도 17은 본 발명의 다른 실시예에 따른 가스확산부재를 나타내는 사시도.
도 18은 도 17의 가스확산부재가 적용된 PECVD 장치에서 가스 도입부를 통해 진공용기 내로 유입된 공정가스가 확산되는 모습을 나타내는 도면.
도 19는 본 발명의 또 다른 실시예에 따른 가스확산부재를 나타내는 사시도.
본 발명은 다양한 변환을 가할 수 있고 여러 가지 실시예를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 상세한 설명에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 실시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변환, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 본 발명을 설명함에 있어서 관련된 공지 기술에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다.
제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다.
본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
이하, 본 발명에 따른 화학기상증착 장치의 바람직한 실시예를 첨부도면을 참조하여 상세히 설명하기로 하며, 첨부 도면을 참조하여 설명함에 있어, 동일하거나 대응하는 구성 요소는 동일한 도면번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다.
도 3은 본 발명의 일 실시예에 따른 PECVD 장치를 나타내는 단면도이고, 도 4는 도 3의 'A' 부분을 확대하여 나타내는 도면이며, 도 5는 도 3의 'B' 부분을 확대하여 나타내는 도면이다. 도 3 내지 도 5를 참조하면, 공정챔버(100), 반응공간(150), 백 플레이트(200), 가스 도입부(210), 제1 결합부재(250), 가스확산부재(300), 샤워헤드(400), 분사홀(410), 제2 결합부재(450), 장공홀(460), 서셉터(500), 클램프 부재(600), 제3 결합부재(650), 제4 결합부재(670), 열저항 부재(700), 기판(800) 등이 도시되어 있다.
도 3에 도시된 바와 같이, 본 실시예에 따른 CVD 장치는, 반응공간(150)을 구획하는 공정챔버(100); 상기 반응공간(150)의 상측에 위치하며, 중앙에 가스 도입부(210)가 구비되는 백 플레이트(200, back plate); 상기 가스 도입부(210)의 하측에 이격되어 배치되며, 상기 가스 도입부(210)를 통해 공급되는 공정가스를 확산시키는 가스확산부재(300); 상기 백 플레이트(200) 및 상기 가스확산부재(300)의 하측에 이격되어 배치되며, 복수 개의 분사홀(410)이 천공된 샤워헤드(400); 상기 샤워헤드(400)의 하측에 이격되어 배치되며, 기판(800)을 지지하는 서셉터(500, susceptor)를 포함한다.
이 때, 상기 가스확산부재(300)는 제1 결합부재(250)에 의해 상기 백 플레이트(200)에 결합되고, 상기 샤워헤드(400)의 중앙부는 제2 결합부재(450)에 의해 상기 가스확산부재(300)에 결합된다. 즉, 가스확산부재(300)를 매개로 하여 샤워헤드(400)의 중앙부가 백 플레이트(200)에 체결되는 것이다. 이러한 본 실시예에 따르면, 열팽창에 의해 샤워헤드(400)의 중앙부가 하부로 처지는 문제를 해결할 수 있게 된다.
보다 구체적으로, 도 4에 도시된 바와 같이, 가스확산부재(300)는 자신의 가장자리를 관통하는 나사와 같은 제1 결합부재(250)에 의해 백 플레이트(200)로부터 소정 거리만큼 이격된 상태에서 백 플레이트(200)에 체결된다. 또한, 가스확산부재(300)는 나사와 같은 제2 결합부재(450)에 의해 샤워헤드(400)로부터도 소정 거리만큼 이격된 상태에서 샤워헤드(400)와 체결된다. 이 때, 제2 결합부재(450)는 샤워헤드(400)를 관통하여 단부가 가스확산부재(300)의 중앙에 삽입될 수 있다.
한편, 본 실시예에서는 제1 결합부재(250) 및 제2 결합부재(450)로 나사를 제시하였으나, 반드시 이에 한정되는 것은 아니며, 가스확산부재(300)가 백 플레이트(200)와 샤워헤드(400)로부터 이격된 상태에서 고정될 수만 있다면 어느 부재(예를 들면, 핀)를 이용하더라도 무방하다.
공정챔버(100)는 진공상태의 반응공간(150)을 구획한다. 이러한 공정챔버(100)는 크게 상부커버(120)와 챔버몸체(110)로 구분되며, 그 사이에는 오-링과 같은 실링부재(미도시)가 개재되어 공정챔버(100) 내의 반응공간(150)을 외부로부터 밀폐시킨다.
상기 반응공간(150)의 상측, 보다 구체적으로 상부커버(120)가 구획하는 공간에는 백 플레이트(200)가 위치한다. 백 플레이트(200)는 알루미늄과 같은 금속 재질로 이루어질 수 있으며, 그 중앙에는 공정가스의 주입을 위한 가스 도입부(210)가 구비된다. 가스 도입부(210)는 백 플레이트(200)를 관통하는 홀일 수 있으며, 또는 홀에 삽입되는 관일 수도 있다. 외부의 가스공급원(미도시)으로부터 공급되는 공정가스는 이러한 가스 도입부(210)를 거쳐 백 플레이트(200)의 하부로 주입될 수 있게 된다.
백 플레이트(200)의 하측, 보다 구체적으로 백 플레이트(200)에 구비된 가스 도입부(210)의 하측에는, 도 4에 도시된 바와 같이, 공급된 공정가스를 확산시키기 위한 가스확산부재(300)가 위치한다. 전술한 바와 같이, 가스확산부재(300)는 제1 결합부재(250)에 의해 백 플레이트(200)로부터 이격된 상태에서 고정된다.
이러한 가스확산부재(300)는 공정챔버(100) 내부, 보다 구체적으로는 백 플레이트(200)와 샤워헤드(400) 사이의 공간(220, 이하 버퍼공간이라 칭함)에서 유입된 공정가스가 효과적으로 확산되도록 하기 위한 것으로서, 이를 위해서는 유입되는 공정가스들의 라미나 흐름(Laminar flow)을 만들어 주는 것이 중요하다. 가스확산부재(300)의 구체적인 형상 및 기능 등에 대해서는 후술하도록 한다.
한편, 도 4에 도시된 바와 같이, 상기 백 플레이트(200)의 하측 단부에는 상기 가스 도입부(210) 보다 큰 단면적을 갖는 확장홈(230)이 형성될 수 있으며, 상기 가스확산부재(300)의 일부 또는 전부는 상기 확장홈(230) 내에 위치할 수 있다. 이 때, 확장홈(230)은 가스확산부재(300)와 닮은꼴일 수 있다.
백 플레이트(200) 및 가스확산부재(300)의 하측에는 샤워헤드(400)가 이격되어 배치된다. 샤워헤드(400)는 주입된 공정가스를 확산시켜, 공정가스가 서셉터(500) 상에 위치할 기판(800)의 전면에 고르게 분사되도록 하는 수단으로서, 공정챔버(100)의 단면 형상과 닮은 꼴인 형상을 가질 수 있다. 예를 들어, 공정챔버(100)가 직육면체 형상으로 이루어져 그 단면이 직사각형을 갖는 경우, 샤워헤드(400)는 직사각형의 판재 형상일 수 있는 것이다. 또한, 샤워헤드(400)는 알루미늄 등과 같은 금속 재질의 판재 형상인 몸체에 고른 간격으로 천공되는 분사홀(410)을 포함할 수 있다. 이 때, 분사홀(410)은 아래로 갈수록 단면적이 점차 커지는 콘 형상일 수 있다.
이상과 같은 구조로 인하여, 본 실시예에 따르면, 주입된 공정가스가 백 플레이트(200)의 하부에 형성된 가스확산부재(300)에 의해 1차로 확산된 뒤, 샤워헤드(400)에 의해 2차로 확산되어 서셉터(500) 상면에 안착된 기판(800)의 상면으로 균일하게 분사될 수 있게 된다.
이 때, RF 전원(900)이 백 플레이트(200) 및 샤워헤드(400)와 연결되어, 분사된 공정가스를 여기시키는데 필요한 에너지를 공급함으로써, 샤워헤드(400)를 통해 분사된 공정가스를 플라즈마화시키게 된다. 즉, 백 플레이트(200) 및 샤워헤드(400)는 상부 전극으로서의 기능을 수행할 수 있게 된다.
한편, 공정챔버(100), 보다 구체적으로는 상부커버(120)는 접지로서의 기능을 수행하게 되므로, 도 5에 도시된 바와 같이, 상부 전극으로서 기능하는 백 플레이트(200) 및 샤워헤드(400)과 상부커버(120) 사이에는 절연체들(160, 170, 180)이 개재되어 이들 사이의 전기적인 절연이 유지되게 한다. 이 때, 절연체(160)의 소정의 위치에는 오링(190)이 배치되어 반응공간(도 3의 150)의 진공상태가 유지되도록 할 수 있다.
결정질 실리콘 태양전지 제조공정에서는 반사 방지막으로 질화 실리콘(SiNx) 막을 주로 사용하며, 이러한 반사 방지막을 형성하기 위해서는 공정가스로 SiH4와 NH3를 주입하여 공정을 시행할 수 있다.
한편, 도 5에 도시된 바와 같이 샤워헤드(400)의 가장자리는 샤워헤드(400)의 하면을 지지하기 위한 수평부분(610)과, 샤워헤드(400)의 측면을 지지하기 위한 수직부분(620)으로 구분되는 클램프 부재(600)에 의해 지지될 수 있다. 이 때, 샤워헤드(400)의 가장자리 하부에는, 클램프 부재(600)의 하부, 즉 수평부분(610)과 맞물리도록 홈(430)이 형성될 수 있다.
클램프 부재(600), 예를 들어 클램프 부재의 수직부분(620)은 나사 등과 같은 제3 결합부재(650)를 통해 백 플레이트(200)에 결합될 수 있으며, 클램프 부재의 수평부분(610)은 샤워헤드(400)의 하면과 맞물려 이를 지지할 수 있다.
한편, 샤워헤드(400)의 측면과 클램프 부재(600) 사이에는 소정의 갭(420)이 형성될 수 있다. 이러한 갭(420)은 샤워헤드(400)의 열팽창을 고려한 여유공간이다.
다른 한편, 도 5 6 에 도시된 바와 같이, 상기 샤워헤드(400)의 가장자리에는 타원형 장공홀(460)이 형성되고, 제4 결합부재(670)가 상기 클램프 부재(600)의 수평부분(610)을 관통하여 상기 장공홀(460)에 삽입될 수도 있다. 제4 결합부재(670)는 클램프 부재(600)와 샤워헤드(400)를 결합시켜, 샤워헤드(400)의 가장자리 부분이 지지될 수 있도록 하는 수단이다. 이러한 제4 결합부재(670)로는 나사, 핀 등 다양한 체결수단을 이용할 수 있음은 물론이다.
이 때, 샤워헤드(400)에는 도 6에 도시된 바와 같이 장공홀(460)이 형성된다. 이러한 장공홀(460)을 형성함으로써, 샤워헤드(400)의 열팽창에도 불구하고, 샤워헤드(400)에 제4 결합부재(670)의 존재로 의한 스트레스가 과도하게 부가되는 것을 방지할 수 있게 된다. 장공홀(460) 내의 잔여공간이 샤워헤드(400)의 열팽창을 고려한 여유공간으로서의 기능을 수행할 수 있게 되기 때문이다.
한편, 샤워헤드(400)가 사각형의 판재 형상인 경우, 상기 클램프 부재(600), 상기 타원형 장공홀(460) 및 상기 제4 결합부재(670)는 상기 샤워헤드(400)의 각 측면마다 마련될 수 있다. 즉, 클램프 부재(600)와 제4 결합부재(670)가 샤워헤드(400)의 각 측면마다 모두 형성되어, 샤워헤드(400)의 가장자리를 보다 충실히 지지할 수 있도록 하는 것이다.
이 때, 도 6에 도시된 바와 같이, 상기 장공홀(460) 및 상기 제4 결합부재(670)는, 상기 샤워헤드(400)의 각 측면마다 각각 한 쌍씩 마련되어, 샤워헤드(400)를 보다 더 충실히 지지할 수도 있다.
또한, 상기 클램프 부재(600)와 상기 백 플레이트(200) 사이에는 열저항 부재(700)가 개재될 수도 있다. 이러한 열저항 부재(700)는, 도 5에 도시된 바와 같이, 일측은 상기 백 플레이트(200)의 하면과 접촉하고, 타측은 상기 샤워헤드(400)의 상면과 접촉하게 되어, 샤워헤드(400)와 백 플레이트(200) 간의 열전달에 있어서 저항으로서의 기능을 수행하게 된다. 이러한 열저항 부재(700)의 저항 역할에 의해 샤워헤드(400)의 열이 백 플레이트(200)로 전달되는 현상을 줄일 수 있게 된다. 열저항 부재(700)의 두께는 대략 1.5 ~ 3.0 mm 정도일 수 있다.
샤워헤드(400)의 하측에는 기판(800)이 안착되는 서셉터(500)가 이격되어 배치된다. 서셉터(500)의 내부에는 히터(510)가 매설될 수 있으며, 이 경우 박막 증착 과정에서 서셉터(500)의 상부에 안착된 기판(800)을 증착에 적합한 온도(예를 들면 약 400℃)로 상승시킬 수 있다. 또한, 서셉터(500)는 전기적으로 접지되어 하부 전극으로서의 기능을 수행할 수 있게 되며, 기판(800)의 로딩 및 언로딩을 위해 별도의 승강수단(520)에 의해 상하로 승강할 수도 있다.
한편, 증착 반응이 완료된 후에 공정챔버(100) 내부에 잔류하는 공정가스가 외부로 배출될 수 있도록 공정챔버(100)의 하측, 보다 구체적으로 서셉터(500)의 하방에는 배기구(160)가 구비될 수 있다.
이하에서는 전술한 가스확산부재(300)의 형상 및 기능에 대해 보다 구체적으로 설명하도록 한다. 도 7은 종래기술에 따른 PECVD 장치에서 가스 도입부(210)를 통해 공정챔버(100) 내, 보다 구체적으로는 버퍼공간(220) 내로 유입된 공정가스가 확산되는 모습을 나타내는 도면이다. 여기서 화살표는 확산되는 공정가스를 나타낸다.
기판(800) 상에 균일한 박막을 형성하기 위해서는, 기판(800) 전면에 걸쳐 균일한 공정가스가 공급되는 것이 중요하다. 이를 위해서는 가스 도입부(210)를 통해 샤워헤드(400) 상측으로 공급되는 공정가스가 샤워헤드(400) 전면에 걸쳐 고르게 분포될 필요가 있는데, 도 7에 도시된 바와 같이, 종래기술에 따르면, 직육면체 형상을 갖는 공정챔버(100)에 있어서, 중앙부에 위치한 가스 도입부(210)부터 공정챔버(100)의 모서리 부분(102)까지의 거리가 멀기 때문에, 공정챔버(100) 전체에 걸쳐 고른 공정가스의 분포를 갖기에는 한계가 존재하였다.
이러한 점을 고려하여, 본 실시예에서는, 도 8에 도시된 바와 같이, 원판 형상의 지지 플레이트(310A)와, 지지 플레이트(310A)의 중앙부 상면에 형성되는 원뿔 형상의 돌출부재(320A)를 포함하는 가스확산부재(300A)를 제시한다. 이 때, 돌출부재(320A)의 각 측면(322A)은 공정챔버(100)의 모서리(102)를 향하여 배치된다.
본 실시예에서는 돌출부재(320A)의 모서리 부분(324A)이 공정가스의 흐름을 저해하는 저항으로서의 기능을 할 수 있게 되어, 도 9에 도시된 바와 같이, 상대적으로 공정가스의 흐름이 원활한 돌출부재(320A)의 측면(322A)이 공정챔버(100)의 모서리(102)를 향하도록 함으로써, 공정챔버(100)의 모서리 부분(102)에 대한 공정가스의 보상이 이루어질 수 있게 되는 것이다.
이 때, 도 9에 도시된 바와 같이, 제1 결합부재(250)를 돌출부재(320A)의 중심과 돌출부재(320A)의 모서리(324A)를 통과하는 직선 경로 상에 위치시키면, 제1 결합부재(250)가 공정가스의 이동에 대해 저항으로서 작용하게 되어, 공정챔버(100)의 모서리 부분(102) 방향으로의 공정가스의 흐름을 보다 더 원활하게 할 수도 있다.
이와 같이, 가스확산부재의 형상을 변경하여 상대적으로 거리가 긴 공정챔버(100)의 모서리 방향(102)으로 공정가스의 흐름을 원활하게 하면, 공정챔버(100)의 모서리 부분(102)에 대한 공정가스의 보상이 이루어질 수 있게 되고, 그 결과 버퍼공간(220), 나아가 공정챔버(100) 내의 전체적인 공정가스의 균일도를 향상시킬 수 있게 된다.
그리고 지지 플레이트(310A)의 측면은 도 8에 도시된 바와 같이, 지지 플레이트(310A)의 중심 측으로 기울어지게 형성될 수 있다. 보다 구체적으로, 지지 플레이트(310A)의 가장자리에는 상부로부터 하부를 향해 경사면이 형성되고, 이러한 경사면은 볼록한 곡면 형상을 가질 수 있으므로(도 13 참조), 돌출부재(320A)를 지나 지지 플레이트(310A)를 통과하는 공정가스는 이러한 경사면을 따라 보다 원활하게 유동할 수 있게 된다.
한편, 가스확산부재(300A)에는 도 8 및 도 10에 도시된 바와 같이, 가스확산부재(300A)를 상하 방향, 즉 수직하게 관통하는 복수의 가스 유도홀(326A)이 형성될 수 있다. 이에 따라 가스 도입부(210)를 통해 공급되는 공정가스는 가스확산부재(300A)의 표면뿐만 아니라 이러한 가스 유도홀(326A)을 통해서도 확산될 수 있으므로, 공정가스는 가스확산부재(300A)의 둘레뿐 아니라 가스확산부재(300A)의 하측으로도 효과적으로 확산이 가능하게 된다.
이러한 가스 유도홀(326A)은 도 10에 도시된 바와 같이, 가스확산부재(300A)의 중심을 기준으로 원주 방향을 따라 일정한 간격으로 배치될 수 있다. 즉, 가스 유도홀(326A)은 가스확산부재(300A)의 중심에 위치하는 가상의 원 상에 일정한 간격으로 배치될 수 있으며, 예를 들어 도 10에 도시된 바와 같이 4개의 가스 유도홀(326A)이 돌출부재(320A)에 형성될 수 있다.
이러한 가스 유도홀(326A)의 하단부는 도 11에 도시된 바와 같이 가스확산부재(300A)의 하면에 형성된 링 형상의 확산채널(316A)에 의해 연결될 수 있다. 그리고 도 11에 도시된 바와 같이 가스확산부재(300A)의 하면에는 확산판(330A)이 수용 가능하도록 수용홈(318A)이 형성되며, 도 12에 도시된 바와 같이 이러한 수용홈(318A)에는 확산홀(332A)이 형성된 확산판(330A)이 확산채널(316A)을 커버하도록 설치될 수 있다.
이와 같이 복수의 가스 유도홀(326A)의 하단부에 이들을 연결하는 확산채널(316A)이 형성되고, 확산채널(316A)을 커버하도록 가스확산부재(300A)의 하면에 확산판(330A)이 설치됨으로써, 가스 유도홀(326A)의 상단부를 통해 유입되는 공정가스는 곧바로 가스확산부재(300A)의 하측으로 유출되지 못하고 확산채널(316A)과 확산판(330A)에 의해 구획된 공간에 1차적으로 모인 후, 복수의 확산홀(332A)에 의해 가스확산부재(300A)의 하측으로 분출될 수 있으므로, 가스 유도홀(326A)을 통해 유입된 공정가스는 보다 균일하게 가스확산부재(300A)의 하측으로 확산될 수 있다.
이 경우, 확산홀(332A)은 도 12에 도시된 바와 같이, 상술한 가스 유도홀(326A)과 유사하게 가스확산부재(300A)의 중심을 기준으로 원주 방향을 따라 일정한 간격으로 배치될 수 있으나, 도 10 및 도 12를 통해 확인할 수 있는 바와 같이, 가스 유도홀(326A)의 중심과 편심되도록 형성될 수 있으며, 확산홀(332A)은 가스 유도홀(326A) 보다 많은 개수, 예를 들어 도 10 및 12에 도시된 바와 같이 4개의 가스 유도홀(326A)에 대해 8개가 형성될 수 있다.
이와 같이 확산홀(332A)이 평면 상의 위치를 기준으로 가스 유도홀(326A)과 상이한 위치에 배치되고 가스 유도홀(326A) 보다 많은 개수로 형성됨으로써, 확산채널(316A)에 모이는 공정가스는 균일하게 분포된 다수의 확산홀(332A)을 통해 보다 균일하게 가스확산부재(300A)의 하측으로 확산될 수 있다.
이하, 도 13 내지 도 16을 참조하여 가스확산부재(300A)의 상면 및 가스 유도홀(326A)을 통해 공정가스의 유동에 대해 설명한다.
도 13은 가스확산부재(300A)를 통한 공정가스의 유동 원리를 설명하기 위한 도면으로서, 가스 유도홀(326A), 확산채널(316A), 및 확산홀(332A)의 구체적인 배치 관계를 나타내는 것은 아니며, 이들 간의 구체적인 배치 관계는 도 12의 AA 선, BB 선, CC 선에 따른 단면도인 도 14 내지 도 16을 통해 확인할 수 있다.
가스 도입부(210)를 통해 공급된 공정가스는 도 13에 도시된 바와 같이 가스확산부재(300A)의 상면뿐 아니라 가스 유도홀(326A)을 통해 유입된다. 도 13 및 도 14에 도시된 바와 같이 가스 유도홀(326A)의 상단부를 통해 유입된 공정가스는 가스 유도홀(326A)의 하단부를 연결하는 링 형상의 확산채널(316A)을 향해 유동한다.
이와 같이 확산채널(316A)에 도달한 공정가스는 도 13 및 도 15에 도시된 바와 같이 확산판(330A)에 의해 가로막힌 확산채널(316A) 내에 1차적으로 머무르면서 보다 균일하게 분산될 수 있다.
이 경우, 확산채널(316A)은 도 13 및 도 15에 도시된 바와 같이 하측으로 갈수록 너비가 증가하는 사각 형상의 단면을 가질 수 있다. 확산채널(316A)은 예를 들어 사다리꼴 형상의 단면을 가스확산부재(300A)의 중심을 기준으로 회전 대칭시킴으로써 형성되는 링 형상의 구성으로서, 확산채널(316A)은 그 하부로 갈수록 횡단면적이 증가되어, 내부에 유입된 공정가스의 유동 속도를 감소시킬 수 있으므로, 공정가스는 이러한 확산채널(316A)에 의해 보다 균일하게 분산될 수 있다.
이어서, 확산채널(316A) 내의 공정가스는 도 13 및 도 16에 도시된 바와 같이 가스 유도홀(326A) 보다 많은 개수로, 가스 유도홀(326A)의 중심과 편심되게 형성된 확산홀(332A)을 통해 가스확산부재(300A)의 하측으로 배출될 수 있다.
이 경우, 도 13 및 도 16에 도시된 바와 같이, 확산홀(332A)의 상측 단부는 상측으로 갈수록 횡단면적이 증가되며, 확산홀(332A)의 하측 단부는 하측으로 갈수록 횡단면적이 증가될 수 있다. 이와 같이 확산홀(332A)이 상부에서 하부로 갈수록 횡단면적이 점차 감소하다가 다시 증가하는 형상, 즉, 절구 형상을 가짐으로써, 확산채널(316A)로부터 유입되는 공정가스는 이러한 확산홀(332A)의 내벽을 따라 안정적인 유동이 가능하게 되고, 이에 따라 공정가스 내에는 와류 형성이 최소화될 수 있다.
다른 실시예로, 도 17에 도시된 바와 같이, 사각판 형상의 지지 플레이트(310B)와, 지지 플레이트(310B)의 상면에 형성되는 원뿔 형상의 돌출부재(320B)를 포함하는 가스확산부재(300B)가 이용될 수 있다. 이 때, 지지 플레이트(310B)의 각 측면(312B)은 상기 공정챔버(100)의 모서리(102)를 향하여 배치된다.
이러한 가스확산부재(300B)를 이용하게 되면, 도 18에 도시된 바와 같이, 가스 도입부(210)를 통해 공급된 공정가스는 일단 원뿔 형상의 돌출부재(320B)의 측면을 따라 사방으로 고르게 하강하게 되고, 이 후 지지 플레이트(310B)의 상면을 따라 이동하게 된다. 이 때, 지지 플레이트(310B)의 상면은 공정가스의 이동에 있어서 저항으로 작용하게 되므로, 중앙으로부터 상대적으로 거리가 짧은(즉, 저항이 작은) 지지 플레이트의 측면(312B) 방향으로는 공정가스의 흐름이 원활하게 되고, 중앙으로부터 상대적으로 거리가 긴(즉, 저항이 큰) 지지 플레이트의 모서리(314B) 방향으로는 공정가스의 흐름이 원활하지 못하게 되는 결과가 나타날 수 있다.
이 때, 도 18에 도시된 바와 같이, 제1 결합부재(250)를 원뿔(320B)의 중심과 지지 플레이트(310A)의 모서리(314B)를 통과하는 직선 경로 상에 위치시키면, 제1 결합부재(250)가 공정가스의 이동에 대해 저항으로서 작용하게 되어, 지지 플레이트의 측면(312B) 방향으로의 공정가스의 흐름을 보다 더 원활하게 할 수도 있다.
또 다른 실시예로, 도 19에 도시된 바와 같이, 원판 형상의 지지 플레이트(310C)와, 지지 플레이트(310C)의 상면에 형성되는 원뿔 형상의 돌출부재(320C)를 포함하는 가스확산부재(300C)가 이용될 수도 있다.
이러한 가스확산부재(300C)에도 전술한 실시예들과 마찬가지로 가스 유도홀(326C), 확산채널이 형성될 수 있으며, 가스확산부재(300C) 하면의 수용홈에는 확산홀이 형성된 확산판이 설치될 수 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야에서 통상의 지식을 가진 자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
전술한 실시예 외의 많은 실시예들이 본 발명의 특허청구범위 내에 존재한다.
100: 공정챔버
110: 챔버몸체
120: 상부커버
150: 반응공간
200: 백 플레이트
210: 가스 도입부
220: 버퍼공간
230: 확장홈
250: 제1 결합부재
300A, 300B, 300C: 가스확산부재
400: 샤워헤드
410: 분사홀
450: 제2 결합부재
460: 장공홀
500: 서셉터
600: 클램프 부재
610: 수평부분
620: 수직부분
650: 제3 결합부재
670: 제4 결합부재
700: 열저항 부재
800: 기판

Claims (29)

  1. 반응 공간을 구획하는 공정챔버;
    상기 반응 공간의 상측에 위치하며, 중앙에 가스 도입부가 구비되는 백 플레이트(back plate);
    상기 가스 도입부의 하측에 이격되어 배치되고 제1 결합부재에 의해 상기 백 플레이트에 결합되며, 상기 가스 도입부를 통해 공급되는 공정가스를 확산시키는 가스확산부재;
    상기 백 플레이트 및 상기 가스확산부재의 하측에 이격되어 배치되고 중앙부가 제2 결합부재에 의해 상기 가스확산부재에 결합되며, 복수 개의 분사홀이 천공된 샤워헤드;
    상기 샤워헤드의 하측에 이격되어 배치되며, 기판을 지지하는 서셉터(susceptor)를 포함하며,
    상기 가스확산부재에는, 상기 가스 도입부를 통해 공급되는 공정가스가 상기 가스확산부재의 하측으로 확산되도록, 상기 가스확산부재를 상하 방향으로 관통하는 복수의 가스 유도홀이 형성되고,
    상기 가스확산부재는,
    지지 플레이트; 및
    상기 지지 플레이트의 상면에 형성되는 돌출부재를 포함하는 것을 특징으로 하는 화학기상증착 장치.
  2. 제1항에 있어서,
    상기 복수의 가스 유도홀은 상기 가스확산부재의 중심을 기준으로 원주 방향을 따라 일정한 간격으로 배치되는 것을 특징으로 하는 화학기상증착 장치.
  3. 삭제
  4. 제1항에 있어서,
    상기 가스 유도홀은 상기 돌출부재에 형성되는 것을 특징으로 하는 화학기상증착 장치.
  5. 제1항에 있어서,
    상기 가스확산부재에는 상기 가스 유도홀의 하단부를 연결하는 링 형상의 확산채널이 형성되는 것을 특징으로 하는 화학기상증착 장치.
  6. 제5항에 있어서,
    상기 확산채널은 하측으로 갈수록 너비가 증가하는 사각 형상의 단면을 갖는 것을 특징으로 하는 화학기상증착 장치.
  7. 제6항에 있어서,
    상기 가스확산부재의 하면에 상기 확산채널을 커버하도록 설치되는 확산판을 더 포함하고,
    상기 확산판에는 상기 가스 유도홀의 중심으로부터 편심된 복수의 확산홀이 형성되는 것을 특징으로 하는 화학기상증착 장치.
  8. 제7항에 있어서,
    상기 확산홀의 개수는 상기 가스 유도홀의 개수보다 많은 것을 특징으로 하는 화학기상증착 장치.
  9. 제7항에 있어서,
    상기 복수의 확산홀은 상기 가스확산부재의 중심을 기준으로 원주 방향을 따라 일정한 간격으로 배치되는 것을 특징으로 하는 화학기상증착 장치.
  10. 제7항에 있어서,
    상기 가스확산부재의 하면에는 상기 확산판이 수용되는 수용홈이 형성되는 것을 특징으로 하는 화학기상증착 장치.
  11. 제7항에 있어서,
    상기 확산홀의 상측 단부는 상측으로 갈수록 단면적이 증가하는 것을 특징으로 하는 화학기상증착 장치.
  12. 제7항에 있어서,
    상기 확산홀의 하측 단부는 하측으로 갈수록 단면적이 증가하는 것을 특징으로 하는 화학기상증착 장치.
  13. 제1항에 있어서,
    상기 지지 플레이트의 측면은 상기 지지 플레이트의 중심 측으로 기울어진 것을 특징으로 하는 화학기상증착 장치.
  14. 제1항에 있어서,
    상기 공정챔버는 직육면체 형상이고,
    상기 지지 플레이트는 원판 형상이며,
    상기 돌출부재는 사각뿔 형상이고,
    상기 돌출부재의 각 측면은 상기 공정챔버의 모서리를 향하여 배치되는 것을 특징으로 하는 화학기상증착 장치.
  15. 제14항에 있어서,
    상기 제1 결합부재는, 상기 돌출부재의 중심과 상기 돌출부재의 모서리를 통과하는 직선 경로 상에 위치하는 것을 특징으로 하는 화학기상증착 장치.
  16. 제1항에 있어서,
    상기 공정챔버는 직육면체 형상이고,
    상기 지지 플레이트는 사각판 형상이며,
    상기 돌출부재는 원뿔 형상이고,
    상기 지지 플레이트의 각 측면은 상기 공정챔버의 모서리를 향하여 배치되는 것을 특징으로 하는 화학기상증착 장치.
  17. 제16항에 있어서,
    상기 제1 결합부재는, 상기 돌출부재의 중심과 상기 지지 플레이트의 모서리를 통과하는 직선 경로 상에 위치하는 것을 특징으로 하는 화학기상증착 장치.
  18. 제1항에 있어서,
    상기 제1 결합부재와 상기 제2 결합부재 중 적어도 어느 하나는 나사인 것을 특징으로 하는 화학기상증착 장치.
  19. 제1항에 있어서,
    상기 샤워헤드의 가장자리를 지지하도록 제3 결합부재를 통해 상기 백 플레이트와 결합되며, 상기 샤워헤드의 측면에 소정의 갭(gap)을 두고 위치하는 클램프 부재를 더 포함하는 화학기상증착 장치.
  20. 제19항에 있어서,
    상기 클램프 부재와 상기 백 플레이트 사이에 개재되며, 일측은 상기 백 플레이트의 하면과 접촉하고, 타측은 상기 샤워헤드의 상면과 접촉하는 열저항 부재를 더 포함하는 화학기상증착 장치.
  21. 제19항에 있어서,
    상기 클램프 부재는, 상기 샤워헤드의 하면을 지지하기 위한 수평부분과, 상기 샤워헤드의 측면을 지지하기 위한 수직부분을 포함하며,
    상기 샤워헤드의 가장자리 하부에는, 상기 클램프 부재의 수평부분과 맞물리도록 홈이 형성되는 것을 특징으로 하는 화학기상증착 장치.
  22. 삭제
  23. 삭제
  24. 제21항에 있어서,
    상기 샤워헤드의 가장자리에는 타원형 장공홀이 형성되고,
    상기 클램프 부재의 수평부분을 관통하여 상기 장공홀에 삽입되는 제4 결합부재를 더 포함하는 것을 특징으로 하는 화학기상증착 장치.
  25. 제24항에 있어서,
    상기 샤워헤드는 사각판 형상이고,
    상기 클램프 부재, 상기 타원형 장공홀 및 상기 제4 결합부재는 상기 샤워헤드의 각 측면마다 마련되는 것을 특징으로 하는 화학기상증착 장치.
  26. 제25항에 있어서,
    상기 장공홀 및 상기 제4 결합부재는, 상기 샤워헤드의 각 측면마다 각각 한 쌍씩 마련되는 것을 특징으로 하는 화학기상증착 장치.
  27. 제1항에 있어서,
    상기 백 플레이트의 하측 단부에는 상기 가스 도입부 보다 큰 단면적을 갖는 확장홈이 형성되고, 상기 가스확산부재의 적어도 일부는 상기 확장홈 내에 위치하는 것을 특징으로 하는 화학기상증착 장치.
  28. 제1항에 있어서,
    상기 서셉터의 내부에는 열선이 내장되는 것을 특징으로 하는 화학기상증착 장치.
  29. 제1항에 있어서,
    상기 샤워헤드는 알루미늄 또는 알루미늄 합금 재질로 이루어지는 것을 특징으로 하는 화학기상증착 장치.
KR1020110002779A 2011-01-11 2011-01-11 화학기상증착 장치 KR101306315B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020110002779A KR101306315B1 (ko) 2011-01-11 2011-01-11 화학기상증착 장치
US13/217,423 US8980006B2 (en) 2011-01-11 2011-08-25 Apparatus for chemical vapor deposition
TW100133860A TWI514445B (zh) 2011-01-11 2011-09-21 用於化學氣相沉積的裝置
CN201110280169.3A CN102586755B (zh) 2011-01-11 2011-09-21 用于化学气相沉积的装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110002779A KR101306315B1 (ko) 2011-01-11 2011-01-11 화학기상증착 장치

Publications (2)

Publication Number Publication Date
KR20120081437A KR20120081437A (ko) 2012-07-19
KR101306315B1 true KR101306315B1 (ko) 2013-09-09

Family

ID=46454266

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110002779A KR101306315B1 (ko) 2011-01-11 2011-01-11 화학기상증착 장치

Country Status (4)

Country Link
US (1) US8980006B2 (ko)
KR (1) KR101306315B1 (ko)
CN (1) CN102586755B (ko)
TW (1) TWI514445B (ko)

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2553144B1 (en) * 2010-03-29 2016-11-23 Koolerheadz Gas injection device with uniform gas velocity
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US20140030056A1 (en) * 2012-07-25 2014-01-30 Applied Materials, Inc. Process gas flow guides for large area plasma enhanced chemical vapor deposition systems and methods
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103774115B (zh) * 2012-10-17 2017-12-29 理想能源设备(上海)有限公司 化学气相沉积装置
WO2014103727A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 SiC膜成膜装置およびSiC膜の製造方法
KR101979006B1 (ko) * 2012-12-28 2019-05-16 엘지디스플레이 주식회사 박막처리장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
USD756502S1 (en) 2013-07-23 2016-05-17 Applied Materials, Inc. Gas diffuser assembly
CN104342751B (zh) * 2013-08-02 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔和mocvd设备
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104911565B (zh) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 一种化学气相沉积装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102328916B1 (ko) * 2014-08-18 2021-11-18 엘지디스플레이 주식회사 기판 처리 장치
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR101990542B1 (ko) * 2017-06-02 2019-06-18 주식회사 트리셀 기판 지지부재용 볼 부싱
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
CN108195607A (zh) * 2018-02-02 2018-06-22 中国科学院西安光学精密机械研究所 一种火星表面环境模拟试验装置及方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020242817A1 (en) * 2019-05-30 2020-12-03 Applied Materials, Inc. Atomic layer deposition reactor design for uniform flow distribution
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110512184B (zh) * 2019-09-29 2021-10-22 京东方科技集团股份有限公司 基板夹持装置及蒸镀设备
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111394714A (zh) * 2020-04-21 2020-07-10 重庆臻宝实业有限公司 化学沉积气体布散结构及其装置
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN218146933U (zh) * 2022-03-25 2022-12-27 朗姆研究公司 衬底处理系统、用于衬底处理系统的喷头和喷头组件
KR102495928B1 (ko) * 2022-08-17 2023-02-06 배두환 반도체 박막증착장치용 샤워헤드
CN116791065A (zh) * 2023-07-03 2023-09-22 拓荆科技(上海)有限公司 一种扩散构件和半导体工艺设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030140851A1 (en) * 2002-01-25 2003-07-31 Applied Materials, Inc. Gas distribution showerhead
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
US20100136216A1 (en) * 2008-12-01 2010-06-03 Applied Materials, Inc. Gas distribution blocker apparatus

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1669796B (zh) 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030140851A1 (en) * 2002-01-25 2003-07-31 Applied Materials, Inc. Gas distribution showerhead
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
US20100136216A1 (en) * 2008-12-01 2010-06-03 Applied Materials, Inc. Gas distribution blocker apparatus

Also Published As

Publication number Publication date
CN102586755B (zh) 2015-03-04
TW201230153A (en) 2012-07-16
US20120174866A1 (en) 2012-07-12
TWI514445B (zh) 2015-12-21
US8980006B2 (en) 2015-03-17
KR20120081437A (ko) 2012-07-19
CN102586755A (zh) 2012-07-18

Similar Documents

Publication Publication Date Title
KR101306315B1 (ko) 화학기상증착 장치
KR101249999B1 (ko) 화학기상증착 장치
KR101451244B1 (ko) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
JP6240607B2 (ja) 直線型大面積プラズマリアクタ内における均一プロセスのためのガス送出及び分配
US8074599B2 (en) Plasma uniformity control by gas diffuser curvature
US8968514B2 (en) Gas distributing device and substrate processing apparatus including the same
TWI525212B (zh) 氣體分配板及包含此氣體分配板之基板處理設備
KR101420709B1 (ko) 기판 지지 장치 및 이를 구비하는 기판 처리 장치
KR20080105617A (ko) 화학기상증착장치 및 플라즈마강화 화학기상증착장치
WO2024027294A1 (zh) 热丝化学气相沉积设备、硅基薄膜沉积方法及太阳能电池
KR20130142972A (ko) 기판 처리 장치 및 기판 처리 방법
KR102460503B1 (ko) 플라즈마 원자층 증착 장치 및 수평 유도형 전극체
US20130004681A1 (en) Mini blocker plate with standoff spacers
KR100457455B1 (ko) 박막 증착 속도를 조절하는 샤워헤드를 구비한 화학 기상증착 장치.
KR101351399B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101538461B1 (ko) 기판 처리 장치
KR20110058527A (ko) 가스 분산판 및 이를 갖는 공정 챔버
KR101127757B1 (ko) 서셉터 접지유닛, 이를 이용하여 서셉터 접지의 가변방법 및 이를 갖는 공정챔버
KR20130072646A (ko) 증착 장치
KR20120134352A (ko) 원자층 증착장치의 8분기 샤워헤드 모듈
KR20230038987A (ko) 가스 분사 장치
TW202410259A (zh) 氣體噴射裝置、基板處理設備及沉積薄膜的方法
KR101606198B1 (ko) 기판 처리 장치
KR20240007595A (ko) 가스 분사 장치, 기판 처리 장치 및 박막 증착 방법
KR20240035313A (ko) 가스 분사 장치, 기판 처리 장치 및 박막 증착 방법

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160705

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170824

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 6