CN1669796B - 用于制造显示基板的装置及装配在其中的喷头组合 - Google Patents

用于制造显示基板的装置及装配在其中的喷头组合 Download PDF

Info

Publication number
CN1669796B
CN1669796B CN2005100088032A CN200510008803A CN1669796B CN 1669796 B CN1669796 B CN 1669796B CN 2005100088032 A CN2005100088032 A CN 2005100088032A CN 200510008803 A CN200510008803 A CN 200510008803A CN 1669796 B CN1669796 B CN 1669796B
Authority
CN
China
Prior art keywords
shower nozzle
backboard
connector
shape ring
admission hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2005100088032A
Other languages
English (en)
Other versions
CN1669796A (zh
Inventor
张根夏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jusung Engineering Co Ltd
Original Assignee
Jusung Engineering Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020050012546A external-priority patent/KR100592682B1/ko
Application filed by Jusung Engineering Co Ltd filed Critical Jusung Engineering Co Ltd
Publication of CN1669796A publication Critical patent/CN1669796A/zh
Application granted granted Critical
Publication of CN1669796B publication Critical patent/CN1669796B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Abstract

本发明提供一种用于一个显示基板的制造装置中的喷头组合。所述喷头组合包括:一个具有一进气孔的背板;一个具有复数个注气孔的喷头;复数个将所述喷头与所述背板在其边缘部分处相连接的第一连接器;和复数个将所述喷头与所述背板在其中间部分处相连接的第二连接器。

Description

用于制造显示基板的装置及装配在其中的喷头组合
本申请案主张分别于2004年2月23日和2005年2月16日申请的韩国专利申请案第2004-0011810号和第2005-0012546号的权利,其以引用方式并入本文中。
技术领域
本发明涉及一种显示基板的制造装置,且更特定言之,涉及一种具有一防止喷头热变形的结构的喷头组合。
背景技术
一般而言,由于平板显示装置较薄,重量轻且能耗低,所以其通常用于便携式设备中。在各种类型的平面显示装置中,由于液晶显示(LCD)装置具有超高分辨率、彩色图像显示能力和高质量的显示效果,所以其通常用于PDA、手机和电脑监视器中。
所述LCD装置包括具有电极的上基板和下基板,所述上基板和下基板彼此相面对地间隔开,并且一层液晶材料插入所述两者之间。因此,当在上基板和下基板的电极上一电压,并且当液晶材料感应电场时,液晶分子的排列方向根据所施加的电压而变化。通过控制所施加的电压,LCD装置可提供各种透光度以显示图像数据。
由于LCD装置具有重量轻、较薄及能耗低,所以其通常并入办公自动化(OA)设备和视频器材中。在不同类型的LCD装置中,主动矩阵LCD(AM-LCD)具有以矩阵结构排列的薄膜晶体管和像素电极,并且提供高分辨率并在显示移动图像方面有优势。一个典型的AM-LCD面板具有一个上基板、一个下基板和一层插入所述两者之间的液晶材料层。所述上基板通常为一彩色滤光基板,其包括一共同电极和彩色滤光片。所述下基板通常为一个阵列基板,其包括诸如薄膜晶体管(TFT)的开关元件和像素电极。所述共同电极和像素电极在两者之间产生电场,以重新排列液晶分子。
当形成所述阵列基板和所述彩色滤光基板时,通常在玻璃基板上或在其上方形成大量的薄膜。此时需要薄膜沉积处理、微影处理、图案化处理、清洗处理等。所述薄膜沉积过程在所述基板上或在其上方形成复数个薄膜,诸如导体膜和绝缘膜。所述微影处理和图案化处理使用感光性光阻来去除或保留所述薄膜的某部分从而将其图案化。所述清洗处理通过清洁和干燥的方式来去除残留的杂质。
上述的每一个处理过程都是在最佳处理气氛下在一处理腔中执行。特别地,所述处理腔可具有等离子增强化学气相沉积(PECVD)、干式蚀刻等。
同时,在对于基板进行沉积或蚀刻处理期间,可提高所述处理腔的内部温度以产生等离子。例如,当在处理腔内部生成等离子时,温度可达到约300到400摄氏度,此温度足以使处理腔的内部元件变形。即,由于所述高的内部温度高于热变形温度,所以所述内部结构(例如,喷头)受到应力而发生热变形。
发明内容
因此,本发明针对一种基板的制造装置,其大体上消除由于相关技术的局限和缺陷而出现的一或多个问题。
本发明的一个优势在于提供一种喷头组合,其提高制造过程中的产率和产量。
本发明的另一个优势在于提供一种用于制造基板的装置,其防止喷头的热变形。
本发明的其它特征和优势将在随后的描述中作陈述,并且将从所述描述中可部分了解,且可通过实践本发明而为人们所了解。将通过以下描述及所附的权利要求书和附图中所特定指出的结构来实现并达到本发明的目标和其它优势。
为达成上述目的,本发明的优选实施例提供一种用于供制造显示基板的装置中的喷头组合。所述喷头组合包括一个具有一进气孔的背板;一个具有复数个注气孔的喷头;复数个将所述喷头与所述背板在其边缘部分处相连接的第一连接器;及复数个将所述喷头与所述背板在其中间部分处相连接的第二连接器。
根据本发明,所述进气孔形成于所述背板的中间部分中,并且所述复数个第二连接器安置在所述进气孔周围。所述第一和第二连接器为螺钉和螺栓中的一种。
本发明的喷头组合进一步包括处于背板上的一个密封帽用以覆盖每一个第二连接器的头部;和一层在所述密封帽与所述背板之间的密封层。所述密封层可为一个O形环。
在本发明中,所述第二连接器穿透所述背板并且拧到所述喷头的中间部分处。所述喷头组合进一步包括一个在所述背板与每一个第二连接器头部之间的橡胶状O形环和一个在橡胶状O形环与每一个第二连接器头部之间的垫圈。
所述喷头组合进一步包括一个在背板上并在每一个第二连接器头部周围的O形环,和一个覆盖所述O形环和每一个第二连接器头部的密封板,其中通过复数个螺钉将所述密封板紧固到所述背板上。
所述喷头组合进一步包括一个在所述进气孔周围的第一O形环和一个在所述第一O形环周围的第二O形环,其中所述第二连接器安置在进气孔周围的所述第一与第二O形环之间。所述喷头组合进一步包括一个覆盖所述第一和第二O形环及所述第二连接器的密封板,其中通过复数个第一和第二螺钉将所述密封板紧固到所述背板上,其中所述复数个第一螺钉安置在所述进气孔与所述第一O形环之间,并且其中所述复数个第二螺钉安置在所述第二O形环外部的密封板的边缘部分处。
此外,在本发明的喷头组合中,每一个第二连接器与所述喷头成一体,并且其中每一个第二连接器从所述喷头伸出穿透所述背板并被拧到一个螺母中。所述喷头组合进一步包括一个在所述背板与所述螺母之间的橡胶状O形环和一个在所述橡胶状O形环和所述螺母之间的垫圈。
所述喷头组合进一步包括一个在所述背板上并在螺母周围的背板和一个覆盖所述O形环和所述螺母的密封板,其中通过复数个螺钉将所述密封板紧固到背板上。
所述喷头组合进一步包括一个在所述进气孔周围的第一O形环和在所述第一O形环周围的第二O形环,其中所述第二连接器和所述螺母安置在进气孔周围的第一和第二O形环之间。另外,所述喷头组合进一步包括一个覆盖所述第一和第二O形环及所述螺母的密封板,其中,所述通过复数个第一和第二螺钉将密封板紧固到背板上,其中所述复数个第一螺钉安置在所述进气孔与所述第一O形环之间,并且其中所述复数个第二螺钉安置在所述第二O形环外部的密封板的边缘部分中。
在另一方面中,本发明提供一种用于形成一个显示基板的装置。所述装置包括:一个容纳有一个基座的处理腔;一个在所述基座上方的进气管;一个背板,其具有一个对应于所述进气管的进气孔;一个与所述背板相邻安置的喷头,所述喷头具有复数个注气孔;一个将所述喷头与所述背板在其边缘部分处相连接的第一连接器;和一个将所述喷头和所述背板在其中间部分处相连接的第二连接器。
根据本发明的装置,所述处理腔包括一个腔体和一个上盖,其耦合到一起以在两者之间形成一个密闭空间,并且,其中所述上盖具有一个对应于所述进气管的进气孔。此外,所述装置进一步包括一个在所述上盖与所述背板之间的绝缘体,和在所述绝缘体顶表面和底表面上的O形环。此外,根据本发明的装置进一步包括一个在喷头与背板之间的隔板,其中所述第二连接器是螺钉和螺栓中的一种,并且穿透所述隔板和背板。
应理解,以上的简要描述和随后的详细描述都是例示性和说明性的并且旨在依照权利要求书中所主张地提供对于本发明的进一步说明。
附图说明
包括有所附图式以提供对本发明的进一步理解,并且所附图式被并入本说明书中且构成其中的一部分,从而说明本发明的实施例,并与实施方式一同来解释本发明的原理。
在图式中:
图1为展示出用于制造基板的例示性装置的示意性横截面图;
图2为展示出热变形后喷头的经放大的示意性截面图;
图3为根据本发明的原理来说明用于制造显示基板的装置的示意性横截面图;
图4为说明图3的第二连接器的经放大的横截面图;
图5为说明图3的另一例示性第二连接器的经放大的横截面图;
图6为说明在第二连接器周围加以密封的例示性方法的横截面图;
图7为说明使用一个密封板的另一例示性密封方法的俯视图;和
图8为说明使用一个密封板和两层密封层的例示性密封方法的俯视图。
具体实施方式
现将详细参考本发明的优选实施例,在所附图式中对其实例进行说明。无论在任何可能之处,相同的元件符号在整个图式中将用于指示相同和类似的部件。
图1为展示出一个用于制造基板的例示性装置的示意性横截面图。所述用于制造基板的装置通常指代为一个其中具有密闭空间的处理腔10。诸如沉积和蚀刻的薄膜处理在所述密闭空间中进行。如图1所示,所述处理腔10包括一个上盖12和一个腔体14,其耦合到一起从而为薄膜处理形成空间。将一个O形环16插入到所述上盖12与所述腔体14之间以将所述内部空间密闭。
所述上盖12由结合在一起的侧罩20和顶罩22组成。一个背板34位于所述顶罩22下面,并且一个喷头30位于所述背板34下面。背板34与喷头30在其边缘处由连接器42(例如,可为螺钉或螺栓)加以耦合。侧罩20环绕背板34和喷头30的侧面,以使得侧罩和顶罩20和22容纳并完全覆盖背板34和喷头30。顶罩22和背板34分别在其中心部分处具有若干孔,并且一个进气管70穿过这些孔以将处理气体提供到处理腔10的密闭空间中。尽管图1中未展示,进气管70连接到从一个气体源伸出出来的输气管道。在喷头30与背板34之间的进气管70的末端处安置有一个隔板36。喷头30包括大量的注入孔32以将处理气体分散于基板S上方。所供应的穿过进气管70的处理气体首先被隔板36分散并且而后被喷头30的注入孔32注入到基板S的上方。一个RF电源80电连接到背板34和喷头30以向其供能,以使得由喷头30注入的处理气体被激活且而后转化成等离子以沉积或蚀刻薄膜。即,背板34和喷头30在等离子处理期间充当电极。
如上文所述,腔体14与上盖12相耦合。具体而言,腔体14的侧壁通过O形环16连接到上盖12的侧罩20上,其中O形环16被插入到两者之间。在腔体14内部具有一个基座60,在所述基座60上平放有基板S。基座60与喷头30间隔开并且两者相对应。此外,基座60中包括一个加热器62以在处理期间将热量提供到基板S,以使得基座60在等离子处理期间充当一个反电极。在基座60上形成阴影框架(shadow frame)64以固定基板S。此外,在腔体14底部具有一个排气机52,以在等离子处理之后将废物和残余气体排出处理腔10。
仍参看图1,在背板34与侧罩20之间插入一个绝缘体48,以将上盖12与背板34和喷头30电气地相分离。此外,在绝缘体48的顶表面和底表面上安置有封装材料49,例如,O形环。所述封装材料49防止处理气体扩散到外部。
同时,当前由于在供制造显示基板的装置中经处理的基板变得越来越大,所以所述装置具有庞大的容积。因而,喷头30具有大的尺寸。然而,此类大的喷头30可具有诸多缺陷。例如,由于基座60中的加热器62为等离子处理提供热量,所以在等离子处理期间处理腔10的密闭空间具有高达300到400摄氏度的温度。此外,这么高的温度致使结构性元件(例如,喷头30)产生热膨胀。因而,喷头30可发生变形,例如,热变形。喷头变得越大,热变形增加地越多。
图2为展示出热变形后的喷头的经放大的示意性截面图。如图2中所示,由于加热器62所提供的热量而使得喷头30膨胀,并且因此喷头30的中心部分下垂。因而,喷头30与基板S之间的中心距离Dcen比边缘距离Dedg更短。在中心部分与边缘部分之间距离的不同致使生成的等离子不均匀。因此,基板可具有带有粗糙表面的薄膜,并且基板上的所述薄膜被不规则地蚀刻。
图3为根据本发明的原理来说明用于制造基板的装置的示意性横截面图。尽管图3的制造装置与图1中的装置极其类似,但其具有根据本发明的不同结构。
在图3中,所述制造装置通常指代为其中具有密闭空间的处理腔110,其中在所述密闭空间中执行诸如沉积或蚀刻的薄膜处理。所述处理腔110包括一个上盖112和一个腔体114,其耦合在一起从而为薄膜处理形成一个空间。将一个O形环116插入到所述上盖112与所述腔体114之间以将所述内部空间密闭。
上盖112由结合在一起的一个侧罩120和一个顶罩122组成。一个背板134位于所述顶罩122下面,并且一个喷头130位于所述背板134下面。背板134和喷头130在其边缘处由第一连接器142(例如,螺钉或螺栓)加以耦合。侧罩120环绕背板134和喷头130的侧面,以使得侧罩和顶罩120和122容纳并完全覆盖背板134和喷头130。顶罩122和背板134分别在其中心部分处具有若干孔,并且一个进气管170穿过这些孔以将处理气体供给到处理腔110的密闭空间中。尽管图3中未展示,进气管170连接到从一个气体源伸出出来的输气管道。在喷头130与背板134之间的进气管170的末端处安置有一个隔板136。喷头130包括大量的注入孔132以将处理气体分散于基板S上方。所供应的穿过进气管170的处理气体首先被隔板136分散,并且而后被喷头130的注入孔132注入到基板S的上方。一个RF电源180电连接到背板134和喷头130两者以向其供能,从而使得由喷头130注入的处理气体被激活且而后转化成等离子以用于薄膜的沉积或蚀刻。即,背板134与喷头130在等离子处理期间充当电极。
仍参看图3,在进气管170周围形成复数个第二连接器172。所述复数个第二连接器172中的每一个第二连接器都将喷头130连接到背板134,尤其在其中心部分处相连接。即,第二连接器172通过固定喷头130的中心部分的方式而在等离子处理期间防止热变形和喷头130的下垂。
图4为说明图3的第二连接器的经放大的横截面图。所述第二连接器172穿透背板134和隔板136,并且而后被连接到喷头130。第二连接器172可为螺栓或螺钉。每一个第二连接器172的头部安置在背板134的顶表面,并且每一个第二连接器172的尾部可被拧到喷头130中。
然而,每一个第二连接器172可包括如图5所示的螺栓172a和螺母172b。此外,螺栓172a可集成到喷头130。当螺栓172a与喷头130一体成形时,其穿透隔板136和背板134,并且而后由螺母172b加以紧固。
现参看图4,一个密封帽174被重叠地安置于每一个第二连接器172的头部处。此外,可在背板134与密封帽174之间形成一层密封层176,例如O形环。那些密封帽174和密封层176防止在第二连接器172穿过背板134所形成的洞中产生空气流通。
现再参看图3,腔体114耦合于上盖112。具体而言,腔体114的侧壁通过O形环116连接到上盖112的侧罩120上,其中O形环116被插入到所述两者之间。在腔体114内部具有一个基座60,所述基座60上平放有基板S。基座160与喷头130间隔开并且两者相对应。此外,基座160中包括一个加热器162以在处理期间将热量提供到基板S,以使得基座160在等离子处理期间充当一个反电极。在基座160上形成阴影框架(shadow frame)164以固定基板S。此外,在腔体114底部具有一个排气机152,以在等离子处理之后将废物和残余气体排出处理腔110。
仍参看图3,在基板134与侧罩120之间插入一个绝缘体148,以将上盖112与背板134和喷头130电气地相分离。此外,在绝缘体148的顶表面和底表面上安置有封装材料149,例如,O形环。所述封装材料149防止处理气体扩散到外部。
同时,可能对上述第二连接器172和密封方法加以修正和变更。在下文中将参考图6至图8来解释例示性的修正和变更。
图6为说明在第二连接器周围加以密封的例示性方法的横截面图。如图所示,背板134具有一个孔,并且而后,如参考图3到图5所述地,第二连接器172穿透所述孔而被连接到喷头130。第二连接器172具有一个可为螺母或螺栓或螺钉头部的头部部分172c。在背板134与第二连接器172的头部部分172c之间安置有一层密封层176,例如,O形环,以防止在背板134的洞中产生空气流通。在密封层176和头部部分172c之间插入一个垫圈178以严密地紧固密封层176且防止密封层击穿(breakdown)和背板134受损。由于密封层176和垫圈178被插入到背板134和第二连接器的头部部分172c之间,所以可以完全防止在第二连接器172穿过背板134所形成的洞中产生气流。如果采用垫圈178,那么无需省略密封帽174。
图7为说明使用一个密封板来加以密封的例示性方法的俯视图。如图所示,将喷头紧固到背板的复数个第二连接器172被置于进气管170周围。一个密封层176安置在每一个第二连接器172的周围。所述密封层176呈O形环,其由(例如)橡胶材料制成。一个密封板180放置于第二连接器172和密封层176的上方,并由复数个螺钉或螺栓182而紧固到下面的背板(参看图3的134)。因而,所述密封板180紧密地压住并固定下面的密封层172。
在图7中,由于橡胶密封层176安置在每一个第二连接器172周围且而后密封板178在密封层176上方,所以可以绝对地避免第二连接器176在背板中所形成的孔中产生气流。因而,在等离子处理期间,所述处理腔(参看图3中的110)能够在其内部保持密闭真空状态。密封板180充当图4的密封帽。
图8为说明使用一个密封板加以密封的另一个例示性方法的俯视图。如图所示,将喷头紧固到背板的复数个第二连接器172安置在进气管170周围。第一密封层176a也安置于进气管170周围,其位于接近进气管而非第二连接器172的位置处。一个第二密封层172b安置在第二连接器172周围。即,第二连接器172安置在第一密封层176a与第二密封层176b之间。所述第一和第二密封层176都是可由(例如)橡胶材料制成的O形环。
仍参看图8,一个密封板180安置在第二连接器172和第一及第二密封层176a和176b的上方。复数个第一和第二螺钉(或螺栓)182a和182b将所述密封板180牢固地紧固到下面的背板(参考图3中的134)上。所述第一螺钉182a安置在进气管170与第一密封层176a之间,并且第二螺钉182b安置在第二密封层176b外部的密封板180的边缘部分中。由于第一和第二密封层176a和176b将第二连接器隔开,并且由于密封板180将第一和第二密封层176a和176b压紧于第二连接器172的上方,所以可以绝对地防止在第二连接器172于背板中所形成的孔中产生气流。因此,在等离子处理期间,所述处理腔(参看图3中的110)能够保持其中具有密闭的真空条件。
根据本发明,尽管处理腔的内部温度升高到高于300到400摄氏度,但是由于第一和第二连接器将喷头固定并连接到背板,所以喷头并不会由于所述高温而变形。因而,等离子处理可在整个基板上方执行。此外,由于在本发明的第二连接器周围采用了密封层和密封帽及密封板,所以可以绝对地防止在由第二连接器形成的孔中产生气流。因此,当利用本发明时可产生更安全可靠地显示基板。
所属领域的技术人员不难发现,在不脱离本发明的精神和范畴的前提下,可作出各种修正和变更。因而,本发明旨在涵盖落在前述权利要求及其均等物范围之内的本发明的修正和变更。

Claims (21)

1.一种用于一个显示基板的制造装置中的喷头组合,其包含:
一个具有一连接到一进气管的进气孔的背板;
一个具有复数个注气孔的喷头;
复数个将所述喷头与所述背板在其边缘处相连接的第一连接器;
复数个将所述喷头与所述背板在其中间部分处相连接的第二连接器,其中在所述喷头与所述背板的所述边缘部分所述喷头直接接触所述背板的接触部分,所述接触部分超出所述复数个注气孔的范围,其中所述喷头与所述背板在二者的除所述接触部分之外的所述中间部分相间隔开,且其中所述喷头的所述中间部分与所述背板的所述中间部分相对以界定一在二者之间的除所述接触部分之外的部分延伸的空间。
2.根据权利要求1所述的喷头组合,其中所述进气孔形成在所述背板的中间处,并且所述复数个第二连接器安置在所述进气孔的周围。
3.根据权利要求1所述的喷头组合,其中所述第一和第二连接器为螺钉和螺栓中的一种。
4.根据权利要求1所述的喷头组合,其进一步包含一个在背板上的密封帽用以覆盖每一个第二连接器的头部。
5.根据权利要求4所述的喷头组合,其进一步包括一个在所述密封帽与所述背板之间的密封层。
6.根据权利要求5所述的喷头组合,其中所述密封层为O形环。
7.根据权利要求1所述的喷头组合,其中所述第二连接器穿透所述背板并被拧到所述喷头的中间部分处。
8.根据权利要求7所述的喷头组合,其进一步包含一个在所述背板与每一个第二连接器的头部之间的橡胶状O形环,和一个在所述橡胶状O形环与所述每一个第二连接器的头部之间的垫圈。
9.根据权利要求7所述的喷头组合,其进一步包括一个在背板上的并在每一个第二连接器头部周围的O形环,和一个覆盖所述O形环和所述每一个第二连接器头部的密封板,其中,通过复数个螺钉将所述密封板紧固到所述背板上。
10.根据权利要求7所述的喷头组合,其进一步包含一个在所述进气孔周围的第一O形环和一个在所述第一O形环周围的第二O形环,其中,所述第二连接器安置在所述进气孔周围的所述第一与第二O形环之间。
11.根据权利要求10所述的喷头组合,其进一步包括一个覆盖所述第一和第二O形环及所述第二连接器的密封板,其中,所述通过复数个第一和第二螺钉将密封板紧固到所述背板上,其中,所述复数个第一螺钉安置在所述进气孔与所述第一O形环之间,且其中所述复数个第二螺钉安置在所述第二O形环外部的密封板的边缘部分中。
12.根据权利要求1所述的喷头组合,其中所述第二连接器中的每一个与所述喷头成一体,且其中每一个第二连接器从所述喷头伸出穿透所述背板并被拧到一个螺母中。
13.根据权利要求12所述的喷头组合,其进一步包含一个在所述背板与所述螺母之间的橡胶状O形环,和一个在所述橡胶状O形环与所述螺母之间的垫圈。
14.根据权利要求12所述的喷头组合,其进一步包含一个在所述背板上且在所述螺母周围的O形环和一个覆盖所述O形环和所述螺母的密封板,其中通过复数个螺钉将所述密封板紧固到所述背板上。
15.根据权利要求12所述的喷头组合,其进一步包含一个在所述进气孔周围的第一O形环和一个在所述第一O形环周围的第二O形环,其中所述第二连接器和所述螺母都安置在所述进气孔周围的所述第一与第二O形环之间。
16.根据权利要求15所述的喷头组合,其进一步包含一个覆盖所述第一和第二O形环及所述螺母的密封板,其中,通过复数个第一和第二螺钉将所述密封板紧固到所述背板上,其中所述复数个第一螺钉安置在所述进气孔与所述第一O形环之间,且其中所述复数个第二螺钉安置在所述第二O形环外部的密封板的边缘部分中。
17.一种用于形成一显示基板的装置,其包含:
一个用于容纳一基座的处理腔;
一个在所述基座上方的进气管;
一个具有一连接到所述进气管的进气孔的背板;
一个安置在所述背板附近的喷头,所述喷头具有复数个注气孔;
一个将所述喷头与所述背板在其边缘部分处相连接的第一连接器;和
一个将所述喷头与所述背板在其中间位置处相连接的第二连接器,其中所述第二连接器穿过所述背板且被拧到所述喷头的所述中间部分,其中在所述喷头与所述背板的所述边缘部分所述喷头直接接触所述背板的接触部分,所述接触部分超出所述复数个注气孔的范围,其中所述喷头与所述背板在二者的除所述接触部分之外的所述中间部分相间隔开,且其中所述喷头的所述中间部分与所述背板的所述中间部分相对以界定一在二者之间的除所述接触部分之外的部分延伸的空间。
18.根据权利要求17所述的装置,其中,所述处理腔包括一个腔体和一个上盖,所述腔体和所述上盖耦合在一起以在其中形成一个密闭空间,且其中所述上盖具有一个对应于所述进气管的进气孔。
19.根据权利要求18所述的装置,其进一步包含一个在所述上盖与所述背板之间的绝缘体,和一个在所述绝缘体的顶表面和底表面上的O形环。
20.根据权利要求17所述的装置,其进一步包含一个在所述喷头与所述背板之间的隔板,其中所述第二连接器为螺钉或螺栓中的一种并穿透所述隔板和所述背板。
21.一种用于一个显示基板的制造装置中的喷头组合,其包括:
一个具有一连接到一进气管的进气孔的背板;
一个具有复数个注气孔的喷头;
复数个将所述喷头与所述背板在其边缘处相连接的第一连接器;和
复数个将所述喷头与所述背板在其中间部分处相连接的第二连接器,其中所述第二连接器穿透所述背板并被拧到所述喷头的中间部分处,其中在所述喷头与所述背板的所述边缘部分所述喷头直接接触所述背板的接触部分,所述接触部分超出所述复数个注气孔的范围,其中所述喷头与所述背板在二者的除所述接触部分之外的所述中间部分相间隔开,且其中所述喷头的所述中间部分与所述背板的所述中间部分相对以界定一在二者之间的除所述接触部分之外的部分延伸的空间。
CN2005100088032A 2004-02-23 2005-02-23 用于制造显示基板的装置及装配在其中的喷头组合 Expired - Fee Related CN1669796B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR10-2004-0011910 2004-02-23
KR20040011910 2004-02-23
KR1020040011910 2004-02-23
KR1020050012546 2005-02-16
KR1020050012546A KR100592682B1 (ko) 2004-02-23 2005-02-16 표시장치용 기판 제조장비 및 그 가스분사장치
KR10-2005-0012546 2005-02-16

Publications (2)

Publication Number Publication Date
CN1669796A CN1669796A (zh) 2005-09-21
CN1669796B true CN1669796B (zh) 2012-05-23

Family

ID=35041324

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005100088032A Expired - Fee Related CN1669796B (zh) 2004-02-23 2005-02-23 用于制造显示基板的装置及装配在其中的喷头组合

Country Status (2)

Country Link
US (1) US20060054280A1 (zh)
CN (1) CN1669796B (zh)

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100682209B1 (ko) * 2002-06-21 2007-02-12 어플라이드 머티어리얼스, 인코포레이티드 진공 처리 시스템용 전달 챔버
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN103199039B (zh) * 2004-06-02 2016-01-13 应用材料公司 电子装置制造室及其形成方法
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
KR100994470B1 (ko) * 2006-04-13 2010-11-16 엘아이지에이디피 주식회사 기판 처리장치
KR101206725B1 (ko) * 2006-07-26 2012-11-30 주성엔지니어링(주) 서로 다른 전위면 사이의 갭에 완충 절연재가 삽입된기판처리장치
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8733279B2 (en) * 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
CN201442977U (zh) * 2007-09-27 2010-04-28 应用材料股份有限公司 用于放置在pecvd腔中的背板的夹钳机械装置
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
KR101444873B1 (ko) * 2007-12-26 2014-09-26 주성엔지니어링(주) 기판처리장치
JP5230225B2 (ja) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US9484213B2 (en) 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP5243089B2 (ja) * 2008-04-09 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置のシール構造、シール方法およびプラズマ処理装置
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
WO2010051233A2 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
JP5662334B2 (ja) * 2008-12-04 2015-01-28 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長用の流入口要素及び化学気相成長方法
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20140116339A1 (en) * 2011-06-11 2014-05-01 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
CN102433551A (zh) * 2011-12-31 2012-05-02 汉能科技有限公司 一种反应腔室喷淋系统
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP5837247B1 (ja) * 2015-03-31 2015-12-24 株式会社日立製作所 基板組立装置とそれを用いた基板組立方法
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN114875387B (zh) * 2022-03-29 2023-11-17 江苏微导纳米科技股份有限公司 薄膜沉积装置及其布气机构

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1173550A (zh) * 1996-07-17 1998-02-18 松下电器产业株式会社 等离子体处理装置
CN1312954A (zh) * 1998-06-30 2001-09-12 兰姆研究公司 用于等离子加工的弹性接合部件及其制造方法和其应用
CN1359531A (zh) * 1999-06-30 2002-07-17 兰姆研究公司 半导体加工的气体分布装置
CN1437220A (zh) * 2002-02-04 2003-08-20 周星工程股份有限公司 莲蓬头式气体供应器及具有莲蓬头式气体供应器的半导体装置制造设备
EP1371751A1 (en) * 2001-02-09 2003-12-17 Tokyo Electron Limited Film forming device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3117071A (en) * 1960-10-24 1964-01-07 Federal Mogul Bower Bearings Plating rack
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1173550A (zh) * 1996-07-17 1998-02-18 松下电器产业株式会社 等离子体处理装置
CN1312954A (zh) * 1998-06-30 2001-09-12 兰姆研究公司 用于等离子加工的弹性接合部件及其制造方法和其应用
CN1359531A (zh) * 1999-06-30 2002-07-17 兰姆研究公司 半导体加工的气体分布装置
EP1371751A1 (en) * 2001-02-09 2003-12-17 Tokyo Electron Limited Film forming device
CN1437220A (zh) * 2002-02-04 2003-08-20 周星工程股份有限公司 莲蓬头式气体供应器及具有莲蓬头式气体供应器的半导体装置制造设备

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2002-145295A 2002.05.22

Also Published As

Publication number Publication date
US20060054280A1 (en) 2006-03-16
CN1669796A (zh) 2005-09-21

Similar Documents

Publication Publication Date Title
CN1669796B (zh) 用于制造显示基板的装置及装配在其中的喷头组合
KR101293567B1 (ko) 표시장치의 제조방법
KR20090069826A (ko) 기판처리장치
US20040253896A1 (en) Method of manufacturing display device
US20090020503A1 (en) Substrate etching apparatus and substrate etching method
KR100592682B1 (ko) 표시장치용 기판 제조장비 및 그 가스분사장치
US20050034673A1 (en) Apparatus having edge frame and method of using the same
KR100904038B1 (ko) 평면디스플레이용 화학 기상 증착장치
KR101362891B1 (ko) 기판의 박막처리장치
KR100782889B1 (ko) 진공처리장치용 실드링 및 그를 가지는 진공처리장치
US6767078B2 (en) Ink jet head having a nozzle plate
KR100470260B1 (ko) 톱 게이트형 tft를 포함하는 액티브 매트릭스 장치의제조 방법 및 제조 장치
KR20070042233A (ko) 플라스틱 액정표시장치의 제조방법
KR20080060763A (ko) 기판 지지 장치 및 기판 식각 장치
JP2000091244A (ja) プラズマ処理装置およびプラズマ処理装置を用いて製造した半導体装置、並びに半導体装置で構成したアクティブマトリクス基板およびアクティブマトリクス基板を用いた液晶表示素子
CN101126155B (zh) 化学气相沉积装置
KR101162510B1 (ko) 샤워헤드를 포함하는 화학기상 증착장치
KR101096703B1 (ko) 플라즈마 애슁 방법 및 이를 이용한 액정 표시 장치의 제조방법
JP4330159B2 (ja) 薄膜形成装置及び方法並びに液晶表示装置
KR20070111026A (ko) 기판 안착용 스테이션
KR100828502B1 (ko) 건식 식각 장치
KR20070112511A (ko) 화학 기상 증착장치
KR100805390B1 (ko) 챔버의 증착 방법
KR100914199B1 (ko) 액정표시소자를 형성하기 위한 플라즈마 증착장비
TW202228204A (zh) 等離子體處理裝置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120523

Termination date: 20180223

CF01 Termination of patent right due to non-payment of annual fee