KR101444873B1 - 기판처리장치 - Google Patents

기판처리장치 Download PDF

Info

Publication number
KR101444873B1
KR101444873B1 KR1020070137630A KR20070137630A KR101444873B1 KR 101444873 B1 KR101444873 B1 KR 101444873B1 KR 1020070137630 A KR1020070137630 A KR 1020070137630A KR 20070137630 A KR20070137630 A KR 20070137630A KR 101444873 B1 KR101444873 B1 KR 101444873B1
Authority
KR
South Korea
Prior art keywords
gas distribution
upper lead
distribution plate
rear plate
substrate
Prior art date
Application number
KR1020070137630A
Other languages
English (en)
Other versions
KR20090069826A (ko
Inventor
하헌식
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020070137630A priority Critical patent/KR101444873B1/ko
Priority to US12/340,669 priority patent/US20090165722A1/en
Priority to TW097150729A priority patent/TW200943454A/zh
Priority to CN200810187306.7A priority patent/CN101469416B/zh
Publication of KR20090069826A publication Critical patent/KR20090069826A/ko
Application granted granted Critical
Publication of KR101444873B1 publication Critical patent/KR101444873B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Abstract

본 발명은 고온의 환경하에서 열변형이 발생하지 않는 가스분배판을 가지는 기판처리장치에 관한 것으로, 반응공간을 제공하며, 상부리드를 가지는 챔버; 상기 챔버 내부의 상기 상부리드의 하부에 설치되는 후방 플레이트; 상기 플라즈마 전극의 하부에 다수의 분사홀을 가지는 가스분배판; 상기 플라즈마 전극과 대향전극으로 사용되며, 기판이 안치되는 기판안치대; 상기 플라즈마 전극을 개재하여, 상기 상부리드와 상기 가스분배판을 연결시키는 결합부재;를 포함하는 것을 특징으로 한다.
기판처리장치, 가스 분배판, 상부리드, 결합부재, 볼트

Description

기판처리장치{System for treatmenting substrate}
본 발명은 기판처리장치에 관한 것으로, 보다 구체적으로는 고온의 환경하에서 열변형이 발생하지 않는 가스분배판을 가지는 기판처리장치에 관한 것이다.
일반적으로, 액정표시장치(Liquid Crystal Device) 또는 유리기판 상에 형성되는 박막 태양전지의 제조공정에서는 높은 생산성을 확보하기 위하여 대면적의 유리기판을 사용한다. 액정표시장치 또는 박막 태양전지를 제조하기 위해서는 기판에 특정 물질의 박막을 증착하는 박막증착공정, 감광성 물질을 사용하여 이들 박막 중 선택된 영역을 노출 또는 은폐시키는 포토공정, 선택된 영역의 박막을 제거하여 패터닝하는 식각공정 등을 거치게 되며, 이들 각 공정은 해당공정을 위해 최적의 환경으로 설계된 기판처리장치의 내부에서 진행된다.
액정표시장치 또는 박막 태양전지에서, 증착공정 및 식각공정은 공정챔버 내부에서 상부로부터 다운 스트림 방식으로 반응 및 소스물질이 가스 상태로 유입되 어 진행하며, 공정챔버는 반응 및 소스가스가 기판 상면에 균일하게 분포될 수 있도록 기판 상부에 다수의 관통홀이 형성되어 있는 가스분배판을 포함한다. 특히, 최근에는 박막을 증착시키는데 있어서, 공정챔버 외부의 고전압의 에너지를 이용하여 공정 가스를 플라즈마 상태로 여기시킨 상태에서 공정가스 사이의 화학반응을 유도하는 플라즈마 강화 화학기상증착(Plasma Enhanced Chemical Vapor Deposition, PECVD) 방법이 폭넓게 사용되고 있다. 그런데, 대면적의 기판을 사용함에 따라, 대면적의 가스분배판을 사용하게 되면서, 공정챔버의 내부에서 열변형에 의한 가스분배판의 처짐이 발생하게 된다. PECVD 방법을 이용한 기판증착 장치와 같은 표시장치용 기판 제조장비를 일예로 설명하면 다음과 같다.
도 1은 종래기술에 따른 기판처리장치의 개략도이다. 도 1의 종래기술의 가스분배판의 처짐을 방지하기 위하여, 후방플레이트와 가스분배판의 중앙부에 볼트로 연결하는 방법을 사용한다.
기판처리장치(10)는 밀폐공간을 제공하는 공정챔버(12), 공정챔버(12) 내부의 상부에 위치하며, 플라즈마 전극으로 사용되는 후방 플레이트(14), 후방 플레이트(14)와 연결되며 공정챔버(12)의 내부에 소스가스를 공급하는 가스 공급관(36), 후방 플레이트(14)의 하부에 위치하며, 다수의 분사홀(16)을 가지는 알루미늄 재질의 가스분배판(18), 플라즈마 전극과 대향전극으로 사용되며 기판(20)이 안치되는 기판안치대(22), 공정챔버(12)의 내부에서 사용되는 반응가스 및 부산물을 배출하 기 위한 배출구(24)로 포함하여 구성된다. 그리고 후방 플레이트(14)는 RF전원(30)과 연결되고, 후방 플레이트(14)와 RF전원(30) 사이에는 임피던스 정합을 위한 매처(32)가 설치된다.
가스분배판(18)은 후방 플레이트(14)와 버퍼공간(26)을 가지고, 후방 플레이트(14)로부터 연장되어 연결되는 지지대(28)에 거치되거나 고정된다. 그리고, 공정챔버(12)의 내부에서 기판을 처리하면서, 열변형에 기인하여 가스분배판(18)의 중앙부가 하부로 처지는 현상을 방지하기 위하여, 가스 공급관(14)의 중앙부와 대응되는 후방 플레이트(14)를 볼트(34)에 의해 결합시킨다. 볼트(34)에 의한 결합에 의해, 기판처리과정에서 발생할 수 있는 열변형에 기인한 가스분배판(18)의 변형을 방지하여, 가스분배판(18)과 기판안치대(22)의 간격을 균일하게 유지시킨다.
그러나, 기판처리를 위하여, 공정챔버(12)의 내부를 진공으로 배기할 때, 진공압력에 위해, 후방 플레이트(14)의 처짐이 발생한다. 후방 플레이트(14)의 처짐현상은 하중이 집중되는 중앙부에서 발생되며, 후방 플레이트(14)와 볼트(34)에 의해 연결되어 있는 가스 분배판(18)도 동시에 같은 형태로 처지게 되어, 가스분배판(18)과 기판안치대(22)의 간격은 불균일하게 되어, 기판(20) 상에 불균일한 박막이 증착되거나, 불균일한 식각이 된다.
본 발명은 상기와 같은 종래기술의 문제를 해결하기 위하여, 가스분배판의 중앙부를 챔버의 상부리드와 체결하는 것에 의해, 고온의 환경하에서 열변형이 발생하지 않는 가스분배판을 가지는 기판처리장치를 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위한 본 발명에 따른 기판처리장치는, 반응공간을 제공하며, 상부리드를 가지는 챔버; 상기 챔버 내부의 상기 상부리드의 하부에 설치되는 후방 플레이트; 상기 플라즈마 전극의 하부에 다수의 분사홀을 가지는 가스분배판; 상기 플라즈마 전극과 대향전극으로 사용되며, 기판이 안치되는 기판안치대; 상기 플라즈마 전극을 개재하여, 상기 상부리드와 상기 가스분배판을 연결시키는 결합부재;를 포함하는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 결합부재는, 상기 상부리드에 설치되는 제 1 관통홀 및 상기 후방 플레이트에 설치되는 제 2 관통홀을 통과하여, 상기 가스분배판에 설치되는 체결공과 연결되는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 제 1 관통홀의 내부에 설치되는 제 1 절연부재와, 상기 제 1 관통홀과 대응되는 상기 상부리드의 상부 및 하부에 각각 설치되는 제 2 및 제 3 절연부재를 포함하는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 결합부재는 볼트를 사용하며, 상 기 볼트의 상단부를 밀폐시키는 볼트캡을 포함하는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 볼트의 상단부가 삽입되는 삽입구가 상기 상부리드에 설치되는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 결합부재는 볼트를 사용하며, 상기 볼트의 상단부를 밀폐시키는 볼트캡을 포함하는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 제 2 절연부재는, 상기 가스 공급관이 통과하는 관통부를 가지는 원판형 절연부재이고, 상기 원판형 절연부재와 상기 상부리드를 제 2 결합부재에 의해 체결하는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 제 1 절연부재의 관통부, 상기 제 2 관통홀, 및 상기 체결공의 내부에 제 1 나사산이 형성되고, 상기 볼트의 표면에 제 2 나사산이 형성되어, 상기 볼트에 의해 상기 상부리드와 상기 가스분배판의 간격을 조절하는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 상부리드와 상기 후방 플레이트의 사이에서 상기 결합부재를 밀폐시키는 벨로우즈를 설치하는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 벨로우즈와 상기 후방 플레이트 사이에 절연부재를 설치하는 것을 특징으로 한다.
상기와 같은 기판처리장치에 있어서, 상기 가스 공급관과 대응되며, 상기 후방 프레이트와 상기 가스 분배판 사이에 상기 결합부재가 통과하는 제 3 관통홀이 형성된 배플을 포함하는 것을 특징으로 한다.
상기와 같은 목적을 달성하기 위하여, 본 발명에 따른 기판처리장치는, 반응 공간을 제공하며, 상부리드를 가지는 챔버; 상기 챔버 내부의 상기 상부리드의 하부에 설치되는 후방 플레이트; 상기 플라즈마 전극의 하부에 다수의 분사홀을 가지는 가스분배판; 상기 플라즈마 전극과 대향전극으로 사용되며, 기판이 안치되는 기판안치대; 상기 플라즈마 전극을 개재하여, 상기 상부리드와 상기 가스분배판을 연결시키며, 상기 상부리드와 상기 가스 분배판의 간격을 조절하는 결합부재;를 포함하는 것을 특징으로 한다.
본 발명의 실시예에 따른 기판처리장치는 다음과 같은 효과가 있다.
가스 분배판의 중앙부를 챔버의 상부리드와 체결하는 것에 의해, 고온의 환경 하에서도 가스 분배판의 열변형을 방지할 수 있다다. 가스 분배판의 변형이 발생하지 않아, 가스 분배판과 기판안치대의 간격을 일정하게 유지할 수 있어, 균일한 기판처리가 가능하다.
이하에서는 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다.
도 2는 본 발명의 실시예에 따른 기판처리장치의 개략도이고, 도 3은 본 발명의 실시예에 따른 도 2에서 A 부분의 확대도이고, 도 4 및 도 5는 도 2에서 A 부분의 상부리드 평면도이다.
기판처리장치(110)는 밀폐공간을 제공하는 공정챔버(112), 공정챔버(112)의 내부에서, 플라즈마 전극으로 사용되는 후방 플레이트(114), 후방 플레이트(114)와 연결되며 공정챔버(112)의 내부에 소스가스를 공급하는 가스 공급관(136), 후방 플레이트(114)의 하부에 위치하며, 다수의 분사홀(116)을 가지는 알루미늄 재질의 가스분배판(118), 플라즈마 전극과 대향전극으로 사용되며 기판(120)이 안치되는 기판안치대(122), 공정챔버(112)의 내부에서 사용되는 반응가스 및 부산물을 배출하기 위한 배출구(124)로 포함하여 구성된다.
공정챔버(112)는 상부에 위치하는 상부리드(138), 상부리드(138)의 주변부에서 연결되는 측면리드(140), 및 측면리드(140)와 연결되는 챔버바디(142)로 구성되며, O-링과 같은 밀폐수단을 개재하여 연결시킨다. 그리고 후방 플레이트(114)는 RF 전원(130)과 연결되고, 후방 플레이트(114)와 RF 전원(130) 사이에는 임피던스 정합을 위한 매처(132)가 설치된다. 가스분배판(118)은 후방 플레이트(114)와 버퍼공간(126)을 가지고, 후방 플레이트(114)로부터 연장되어 연결되는 지지대(128)에 거치되거나 고정된다. 버퍼공간(126)을 형성하기 위하여, 가스 분배판(118)과 후방 플레이트(114)의 주변부는 서로 연결되어 있고, 주변부를 제외한 부분은 이격된다.
그리고, 공정챔버(112)의 내부에서 기판을 처리하면서, 열변형에 기인하여 가스분배판(118)의 중앙부가 하부로 처지는 현상을 방지하기 위하여, 가스분배 판(118)과 상부리드(138)를 제 1 결합부재인 다수의 제 1 볼트(144)로 체결한다. 따라서, 공정챔버(112)의 내부를 진공으로 배기할 때, 진공압력에 의해 후방 플레이트(114)의 처짐과 무관하게, 가스분배판(118)과 기판안치대(122)의 간격을 균일하게 유지할 수 있다. 가스분배판(118)과 기판안치대(122)가 일정한 간격을 유지하게 되어, 기판 상에 박막이 균일하게 증착되거나 또는 기판이 균일하게 식각되는 기판처리공정을 수행할 수 있다. 상부리드(138)과 후방 플레이트(114)는 서로 이격된다.
도 2 및 도 3과 같이, 가스분배판(118)과 상부리드(138)를 체결시키기 위하여, 상부리드(138) 및 후방 플레이트(114)에는 다수의 제 1 볼트(144)를 통과시키는 다수의 제 1 및 제 2 관통공(160, 162)을 설치하고, 가스분배판(118)에는 다수의 체결공(164)을 설치한다. 제 1 볼트(144)의 하단부와 체결공(164)에는 결합을 위한 제 1 및 제 2 나사산(190, 192)이 형성된다. 그리고, 체결공(164)과 제 1 볼트(144)를 체결하였을 때, 체결공(164)에는 제 1 볼트(144)와 결합되지 않는 완충공간(194)이 설치된다. 상부리드(138)와 가스분배판(118) 사이의 간격은 다수의 제 1 볼트(144)에 의해 조절이 가능하다.
상부리드(138)에 설치되어 있는 다수의 제 1 관통홀(160)을 통한 공기의 유입을 방지하기 위하여, 다수의 제 1 볼트(144) 상에 각각 볼트캡(172)을 설치한다. 볼트캡(172)과 상부리드(138)의 사이에는 O-링과 같은 밀폐수단을 개재하여 밀폐시 킨다. 다수의 제 1 볼트(114)가 통과하는 상부리드(138)와 후방 플레이트(114)의 사이에는 기밀을 유지하기 위한 벨로우즈(146)를 설치한다. 벨로우즈(146)는 상부리드(138)와 후방 플레이트(114)의 간격이 조절됨에 따라, 팽창 및 수축할 수 있는 기밀유지수단인 벨로우즈(146)를 사용한다. 후방 플레이트(114)와 챔버몸체(142)의 사이는 공정을 진행하기 위한 진공상태이므로, 상압 상태의 상부리드(138)와 후방 플레이트(114) 사이의 공간에 의한 영향을 방지하기 위하여 벨로우즈(146)을 설치한다.
다수의 제 1 볼트(144)는 금속재질로 형성되므로, RF 전원(130)을 공급받는 후방 플레이트(114)와 상부리드(138)가 전기적으로 연결되는 것을 방지하기 위해, 다수의 제 1 볼트(144)와 상부리드(138)를 절연시키는 절연부재(174)를 설치한다. 절연부재(174)는 다수의 제 1 관통홀(160) 내부의 제 1 절연부재(164), 다수의 제 1 볼트(144)의 상단부와 상부리드(114)의 절연을 위한 제 2 절연부재(166), 상부리드(114)와 벨로우즈(146)의 절연을 위한 제 3 절연부재(168), 및 벨로우즈(146)와 후방 플레이트(114)의 절연을 위한 제 4 절연부재(169)로 구성된다. 도 4는 도 2의 A 부분에서, 다수의 제 1 볼트(144)에 의해 가스분배판(118)과 체결되는 상부리드(138)의 평면도를 참조하면, 가스분배판(118)과 상부리드(138)의 체결부위는 가스공급관(136)과 인접한 중앙부에 설치된다.
후방 플레이트(114)와 가스분배판(118) 사이의 버퍼공간(126)에서, 가스공급 관(136)에서 공급되는 소오스 가스의 원활한 확산을 위하여 설치하는 배플(148)에도 제 3 관통공(170)을 설치한다. 가스분배판(118)의 다수의 체결공(164)은 완전히 관통되지 않는다. 그리고, 다수의 제 1 볼트(144)에 의해, 상부리드(114)와 가스분배판(118)의 간격을 조절할 수 있다.
도 2 내지 도 4와 같이, 다수의 제 1 볼트(144)의 상단부의 각각과 상부리드(138)를 절연시키기 위한 다수의 제 2 절연부재(166) 대신에, 도 5와 같이, 가스공급관(136)에 의해 관통되는 홀을 가진 원판형의 제 5 절연부재(154)를 설치할 수 있다. 제 5 절연부재(154)는 상부리드(138)와 제 2 결합부재인 다수의 제 2 볼트(176)에 의해 결합된다.
도 6은 본 발명의 다른 실시예에 따른 기판처리장치에서, 결합부재의 분해 단면도이고, 도 7은 도 6의 본 발명의 다른 실시예에 따른 기판처리장치에서, 결합부재의 연결 상세도이다.
도 6과 같이, 가스분배판(118)과 상부리드(138)를 체결시키기 위하여, 상부리드(138) 및 후방 플레이트(114)에는 다수의 제 1 볼트(144)를 통과시키는 다수의 제 1 및 제 2 관통공(160, 162)을 설치하고, 가스분배판(118)에는 다수의 체결공(164)을 설치한다. 제 1 볼트(144)의 하단부와 체결공(164)에는 결합을 위한 제 1 및 제 2 나사산(190, 192)이 형성된다. 그리고, 체결공(164)과 제 1 볼트(144)를 체결하였을 때, 체결공(164)에는 제 1 볼트(144)와 결합되지 않는 완충공간(194)이 설치된다. 그리고, 제 1 볼트(144)의 헤드인 상단부가 상부리드(138)와 동일한 평면을 가질 수 있도록, 상부리드(138)에 제 1 볼트(144)의 상단부가 삽입되는 삽입구(196)를 설치한다.
상부리드(138)에 설치되어 있는 다수의 제 1 관통홀(160)을 통한 공기의 유입을 방지하기 위하여, 삽입구(196)와 대응되는 상부리드(138) 상에 평판형의 볼트캡(172)을 설치하고, 볼트캡(172)와 상부리드(138)의 사이에는 O-링과 같은 밀폐수단을 개재하여 밀봉한다. 다수의 제 1 볼트(114)가 통과하는 상부리드(138)와 후방 플레이트(114)의 사이에는 기밀을 유지하기 위한 벨로우즈(146)를 설치한다. 후방 플레이트(114)와 챔버몸체(142)의 사이는 공정을 진행하기 위한 진공상태이므로, 상압 상태의 상부리드(138)와 후방 플레이트(114) 사이의 공간에 의한 영향을 방지하기 위하여 벨로우즈(146)을 설치한다.
다수의 제 1 볼트(144)는 금속재질로 형성되므로, RF 전원(130)을 공급받는 후방 플레이트(114)와 상부리드(138)가 전기적으로 연결되는 것을 방지하기 위해, 다수의 제 1 볼트(144)와 상부리드(138)를 절연시키는 절연부재(174)를 설치한다. 절연부재(174)는 제 1 볼트(144)의 상단부를 절연시키는 제 1 절연부재(200), 제 1 관통홀(160)과 제 1 볼트(144)를 절연시키는 제 2 절연부재(202), 상부리드(114)와 벨로우즈(146)의 상부 플렌지(22)의 사이에서 제 1 볼트(144)의 절연을 위한 제 3 절연부재(204), 및 벨로우즈(146)의 하부 플렌지(224)와 후방 플레이트(114)의 절연을 위한 제 4 절연부재(206)로 구성된다. 그리고, 벨로우즈(146)를 관통하는 제 1 볼트(144)를 절연시키는 제 5 절연부재(도시하지 않음)를 설치할 수 있다.
제 1 절연부재(200)와 제 2 절연부재(202)의 연결시키기 위하여, 제 1 절연부재(200) 하부의 외주연을 따라 제 1 단차부(210)를 설치하고, 제 2 절연부재(202) 상부의 외주연을 따라 제 1 절연부재(200)의 내측에 삽입될 수 있는 제 2 단차부(212)를 설치한다. 제 2 절연부재(202) 하부의 외주연을 따라 제 3 단차부(214)을 설치하고, 제 3 절연부재(204) 상부의 외주연을 따라 제 4 단차부(216)를 설치하고, 제 2 절연부재(202)와 제 3 절연부재(204)를 연결시킨다. 도 7은 도 6에서 B부분을 확대한 것으로, 제 1 절연부재(200)의 제 1 단차부(210)와 제 2 절연부재(202)의 제 2 단차부(212)가 연결되는 상태를 도시한다. 벨로우즈(146)의 상부 플렌지(222) 및 하부 플렌지(224)는 각각 제 3 절연부재(204)와 제 4 절연부재(206)과 O-링을 개재하여 밀봉한다.
도 1은 종래기술에 따른 기판처리장치의 개략도
도 2는 본 발명의 실시예에 따른 기판처리장치의 개략도
도 3은 본 발명의 실시예에 따른 도 2의 A의 확대도
도 4 및 도 5는 본 발명의 실시예에 따른 상부리드의 평면도이다.
도 6은 본 발명의 다른 실시예에 따른 기판처리장치에서, 결합부재의 분해 단면도
도 7은 본 발명의 다른 실시예에 따른 기판처리장치에서, 결합부재의 연결 상세도

Claims (11)

  1. 제 1 관통홀을 갖는 상부리드와, 상기 상부리드의 양단으로부터 하부방향으로 연장되는 측면리드와, 측면리드와 연결되는 챔버바디를 포함하여 내부공간을 갖는 공정챔버;
    상기 내부공간 내에 위치하고 상기 상부리드와 이격되어 그 사이에 이격공간을 형성하며, 제 2 관통홀을 갖고 상기 공정챔버 내부에 가스를 공급하는 가스공급관이 연결되며, 상기 챔버바디와 반응공간을 형성하는 후방플레이트;
    상기 후방플레이트 하부에 이격되어 위치하여 그 사이에 버퍼공간을 형성하고, 나사산이 형성된 체결공과 다수의 분사홀을 가지는 가스분배판;
    기판이 안치되며 상기 가스분배판 하부에 위치하는 기판안치대;
    상기 제 1 관통홀로부터 연장되며 상기 제 2 관통홀을 관통하여 상기 체결공에 체결됨으로써 상기 상부리드와 상기 가스분배판을 체결시키며 상기 상부리드와 상기 가스분배판 사이 간격을 조절하는 결합부재를 포함하는 기판처리장치.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 제 1 항에 있어서,
    상기 결합부재가 통과하는 상기 후방플레이트와 상기 상부리드 사이에는 기밀유지수단을 포함하는 기판처리장치.
  7. 제 6항에 있어서,
    상기 후방플레이트와 전기적으로 연결되는 RF전원; 및
    상기 후방플레이트와 상기 기밀유지수단을 절연하는 절연부재를 포함하는 기판처리장치.
  8. 제 1 항에 있어서,
    상기 공정챔버의 내부가 진공일 때, 상기 상부리드는 상압상태로 존재하는 것을 특징으로 하는 기판처리장치.
  9. 제 1항에 있어서,
    상기 후방플레이트와 전기적으로 연결되는 RF전원; 및
    상기 상부리드와 상기 결합부재 사이를 절연하는 절연부재를 포함하는 기판처리장치.
  10. 삭제
  11. 삭제
KR1020070137630A 2007-12-26 2007-12-26 기판처리장치 KR101444873B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020070137630A KR101444873B1 (ko) 2007-12-26 2007-12-26 기판처리장치
US12/340,669 US20090165722A1 (en) 2007-12-26 2008-12-20 Apparatus for treating substrate
TW097150729A TW200943454A (en) 2007-12-26 2008-12-25 Apparatus for treating substrate
CN200810187306.7A CN101469416B (zh) 2007-12-26 2008-12-26 用于处理衬底的设备

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070137630A KR101444873B1 (ko) 2007-12-26 2007-12-26 기판처리장치

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR20140054785A Division KR101490440B1 (ko) 2014-05-08 2014-05-08 기판처리장치

Publications (2)

Publication Number Publication Date
KR20090069826A KR20090069826A (ko) 2009-07-01
KR101444873B1 true KR101444873B1 (ko) 2014-09-26

Family

ID=40796586

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070137630A KR101444873B1 (ko) 2007-12-26 2007-12-26 기판처리장치

Country Status (4)

Country Link
US (1) US20090165722A1 (ko)
KR (1) KR101444873B1 (ko)
CN (1) CN101469416B (ko)
TW (1) TW200943454A (ko)

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101565537B1 (ko) * 2009-09-16 2015-11-03 주식회사 원익아이피에스 진공처리장치 및 그에 사용되는 커버부재
CN102054659B (zh) * 2009-11-05 2013-04-10 圆益Ips股份有限公司 基片处理装置及为此使用的覆盖元件
KR101535103B1 (ko) * 2009-11-06 2015-07-09 주식회사 원익아이피에스 기판처리장치
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
ITMI20100249U1 (it) 2010-07-16 2012-01-17 Alfa Laval Corp Ab Dispositivo di scambio termico con sistema perfezionato di distribuzione del fluido refrigerante
KR101582481B1 (ko) * 2010-11-04 2016-01-05 주식회사 원익아이피에스 기판처리장치, 그에 사용되는 커버부재, 그에 사용되는 트레이 및 기판처리방법
CN102534551B (zh) * 2010-12-17 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备
KR101719578B1 (ko) * 2011-01-18 2017-03-24 주성엔지니어링(주) 기판 처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103745902A (zh) * 2013-12-16 2014-04-23 深圳市华星光电技术有限公司 Pecvd处理装置及在基板上进行pecvd处理的方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102256690B1 (ko) * 2014-07-07 2021-05-25 세메스 주식회사 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102015118765A1 (de) * 2014-11-20 2016-06-09 Aixtron Se Vorrichtung zum Beschichten eines großflächigen Substrats
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106684028B (zh) * 2015-11-10 2019-05-31 北京北方华创微电子装备有限公司 承载装置、反应腔室及半导体加工设备
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
JP7446145B2 (ja) 2020-04-07 2024-03-08 東京エレクトロン株式会社 基板処理装置
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN111304594A (zh) * 2020-04-23 2020-06-19 苏州迈正科技有限公司 真空装置及真空镀膜设备
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060060138A1 (en) * 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support
KR20070036844A (ko) * 2005-09-30 2007-04-04 코스텍시스템(주) 반도체 및 액정표시 장치 제조용 플라즈마 화학 증착 챔버
US20070155286A1 (en) * 2005-12-30 2007-07-05 Drain James W Polishing machine comprising a work chamber and a platform

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4493932B2 (ja) * 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060060138A1 (en) * 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support
KR20070036844A (ko) * 2005-09-30 2007-04-04 코스텍시스템(주) 반도체 및 액정표시 장치 제조용 플라즈마 화학 증착 챔버
US20070155286A1 (en) * 2005-12-30 2007-07-05 Drain James W Polishing machine comprising a work chamber and a platform

Also Published As

Publication number Publication date
CN101469416B (zh) 2014-07-23
CN101469416A (zh) 2009-07-01
KR20090069826A (ko) 2009-07-01
US20090165722A1 (en) 2009-07-02
TW200943454A (en) 2009-10-16

Similar Documents

Publication Publication Date Title
KR101444873B1 (ko) 기판처리장치
JP5302865B2 (ja) 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US20090269512A1 (en) Nonplanar faceplate for a plasma processing chamber
JP4775641B2 (ja) ガス導入装置
KR20060109294A (ko) 가스 공급 장치 및 성막 장치
TW452882B (en) Large area plasma source
KR20070036844A (ko) 반도체 및 액정표시 장치 제조용 플라즈마 화학 증착 챔버
KR20230155010A (ko) 감소된 입자 생성을 위한 가스 확산기 장착 플레이트
KR20060045322A (ko) 표시장치용 기판 제조장비 및 그 가스분사장치
KR101490440B1 (ko) 기판처리장치
US20180340257A1 (en) Diffuser for uniformity improvement in display pecvd applications
KR102651036B1 (ko) 입자 생성 감소를 위한 가스 확산기 지지 구조
US11174554B2 (en) Substrate tray for use in thin-film formation device
KR20090013958A (ko) 가스분배판 고정용 결합부재 및 이를 포함하는박막처리장치
JPH0261078A (ja) 平行平板型プラズマエッチング装置
US20200098549A1 (en) Heat conductive spacer for plasma processing chamber
US10751765B2 (en) Remote plasma source cleaning nozzle for cleaning a gas distribution plate
KR101426011B1 (ko) 기판처리장치
KR20200021404A (ko) 처리 챔버들을 위한 코팅 재료
KR101248928B1 (ko) 챔버와 배기라인의 온도구배를 개선한 기판처리장치
KR101582213B1 (ko) 기판처리장치
KR100683255B1 (ko) 플라즈마 처리 장치 및 배기 장치
CN218435938U (zh) 一种用于半导体处理腔的上盖
JP2000228366A (ja) 反応ガス使用処理装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 5