CN101469416B - 用于处理衬底的设备 - Google Patents

用于处理衬底的设备 Download PDF

Info

Publication number
CN101469416B
CN101469416B CN200810187306.7A CN200810187306A CN101469416B CN 101469416 B CN101469416 B CN 101469416B CN 200810187306 A CN200810187306 A CN 200810187306A CN 101469416 B CN101469416 B CN 101469416B
Authority
CN
China
Prior art keywords
gas distribution
distribution plate
plate
rear plate
upper cover
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200810187306.7A
Other languages
English (en)
Other versions
CN101469416A (zh
Inventor
河宪植
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jusung Engineering Co Ltd
Original Assignee
Jusung Engineering Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jusung Engineering Co Ltd filed Critical Jusung Engineering Co Ltd
Publication of CN101469416A publication Critical patent/CN101469416A/zh
Application granted granted Critical
Publication of CN101469416B publication Critical patent/CN101469416B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于处理衬底的设备包含:腔室,其包含上盖;后板,其在所述腔室中;气体分配板,其在所述后板下方,所述气体分配板包含多个注射孔,使用多个第一耦合构件将所述气体分配板与所述上盖组合;以及衬底夹具,其在所述气体分配板下方,所述衬底夹具在其上具有所述衬底。

Description

用于处理衬底的设备
本申请案主张2007年12月26日申请的第2007-0137630号韩国专利申请案的权益,所述申请案以全文引用的方式并入本文。
技术领域
本发明涉及一种用于处理衬底的设备,且更特定来说,涉及一种包含气体分配板的设备。
背景技术
一般来说,大尺寸玻璃衬底用于例如平板显示器(FPD)装置和太阳能电池的半导体装置的制造工艺。半导体装置的制造工艺包含重复薄膜的沉积步骤、光致抗蚀剂(PR)图案的光刻步骤以及为获得薄膜图案而对薄膜的蚀刻步骤。半导体装置的制造工艺的每一步骤均可在用于处理衬底的设备中执行。举例来说,FPD装置和太阳能电池的制造工艺的沉积步骤和蚀刻步骤可在处理腔室中执行,将处于气相的反应材料从所述处理腔室的顶部下游注入所述处理腔室内。因此,处理腔室可包含气体分配板,其具有位于衬底上方的多个注射孔以用于均匀分配反应气体。
特定来说,广泛使用等离子体增强型化学气相沉积(PECVD)方法,其中引起通过外部高压能量激励为等离子体的反应气体之间的化学反应。随着衬底尺寸增加,气体分配板的尺寸增加。因此,大尺寸气体分配板可能由于处理腔室中的热膨胀而弯曲。
图1是展示根据现有技术的用于处理衬底的设备的横截面图。图1中,用于处理衬底的设备10包含处理腔室12、后板14、气体入口36、气体分配板18、衬底夹具22、气体出口24、匹配器32以及射频(RF)电源30。后板14安置在处理腔室12的上部处且用作第一等离子体电极。气体入口36连接到后板14且向处理腔室12供应反应气体。气体分配板18安置在后板14下方且包含多个注射孔16。气体分配板18可由铝(Al)形成。上面有衬底20的衬底夹具22用作第二等离子体电极。所使用的反应气体和处理腔室12中的残余产物通过气体出口24排出。另外,RF电源30通过用于最小化阻抗的匹配器32连接到后板且向其供应源功率。
气体分配板18与后板14间隔开以界定缓冲空间26。气体分配板18固定到从后板14延伸的支撑器28或由其支撑。另外,为防止热变形,例如气体分配板18由于热膨胀而在其中心部分处的弯曲,气体分配板18通过螺栓34与后板14组合。因此,气体分配板18与衬底夹具22之间的距离通过抑制气体分配板18由于热膨胀而引起的热变形而保持恒定。
然而,后板14可能由于由后板14和处理腔室12的下部界定的反应空间被抽空时的压力差而变形。举例来说,当处理腔室12的反应空间被抽空以具有真空条件时,后板14的作为质量中心的中心部分可能向下弯曲。由于气体分配板18通过螺栓34与后板14组合,因此气体分配板18也弯曲。因此,气体分配板18与衬底夹具22之间的距离可能根据位置而变化。因此,衬底20上的薄膜在厚度上具有不均匀性,或衬底20上的薄膜图案在蚀刻轮廓上具有不均匀性。
发明内容
因此,本发明针对一种用于处理衬底的设备,其大体上避免了由于现有技术的限制和缺点而引起的问题中的一个或一个以上问题。
本发明的目的是提供一种用于处理衬底的设备,其中防止了气体分配板的变形。
一种用于处理衬底的设备包含:腔室,其包含上盖;后板,其在所述腔室中;气体分配板,其在所述后板下方,所述气体分配板包含多个注射孔,使用多个第一耦合构件将所述气体分配板与所述上盖组合;以及衬底夹具,其在所述气体分配板下方,所述衬底夹具在其上具有所述衬底。
附图说明
包含附图以提供对本发明的进一步理解且附图并入且构成本说明书的一部分,所述附图说明本发明的实施例。
图1是展示根据现有技术的用于处理衬底的设备的横截面图;
图2是展示根据本发明一实施例的用于处理衬底的设备的横截面图;
图3是对应于图2的部分A的放大图;
图4是对应于图2的部分A的平面图;
图5是展示根据本发明另一实施例的用于处理衬底的设备的平面图;
图6是展示根据本发明另一实施例的用于处理衬底的设备的耦合构件的横截面图;以及
图7是展示图6的部分B的放大图。
具体实施方式
现在将详细参考附图中所说明的实施例。只要可能,类似的参考标号将用于指代相同或类似部分。
图2是展示根据本发明一实施例的用于处理衬底的设备的横截面图,且图3和4分别是对应于图2的部分A的放大图和平面图。
图2、3和4中,用于处理衬底的设备110包含处理腔室112、后板114、气体入口136、气体分配板118、衬底夹具122、气体出口124、匹配器132以及射频(RF)电源130。后板114安置在处理腔室112的上部处且用作第一等离子体电极。气体入口136连接到后板114且向处理腔室112供应反应气体。气体分配板118安置在后板114下方且包含多个注射孔116。气体分配板118可由铝(Al)形成。上面有衬底120的衬底夹具122用作第二等离子体电极。所使用的反应气体和处理腔室112中的残余产物通过气体出口124排出。另外,RF电源130通过用于最小化阻抗的匹配器132连接到后板且向其供应源功率。
处理腔室112包含上盖138、侧盖140以及腔室主体142。上盖138、侧盖140以及腔室主体142通过例如O形环的密封构件彼此组合。上盖138与后板114间隔开,且气体分配板118与后板114间隔开。另外,气体分配板118固定到从后板114延伸的支撑器128或由其支撑。因此,气体分配板118的边界部分与后板114的边界部分组合,且气体分配板118的中心部分与后板114的中心部分间隔开以界定缓冲空间126。此外,包含缓冲空间126的反应空间由后板114和腔室主体142界定。在用反应气体处理衬底120之前,安置衬底120的反应空间经抽空以具有比包含由后板114、上盖138和侧盖140界定的空间的外部低的压力。
为了防止热变形,例如在处理衬底120的过程期间气体分配板118由于热膨胀而在其中心部分处的弯曲,气体分配板118通过包含多个第一螺钉144和多个螺钉孔164的多个第一耦合构件与上盖138组合。因此,通过抑制气体分配板118由于热膨胀而引起的变形而使气体分配板118与衬底夹具122之间的距离保持恒定。另外,无论后板114由于在反应空间被抽空时的压力差而引起的变形如何,气体分配板118与衬底夹具122之间的距离保持恒定。因此,通过沉积过程,形成于衬底120上的薄膜具有均匀厚度,或通过蚀刻过程,形成于衬底120上的薄膜图案具有均匀轮廓。
上盖138包含多个通孔160,且后板114包含多个第二通孔162,以用于组合气体分配板118与上盖138。另外,气体分配板118包含多个螺钉孔164。第一螺纹190形成于所述多个第一螺钉144中每一者的下部的外表面上,且第二螺纹192形成于所述多个螺钉孔164中每一者的内表面上。所述多个第一螺钉144穿过所述多个第一通孔160和所述多个第二通孔162,且与所述多个螺钉孔164组合。因此,所述多个螺钉孔164可不穿透气体分配板118。所述多个第一螺钉144中的每一者可不接触所述多个螺钉孔164中的每一者的底部,以界定缓冲区194。因此,上盖138与气体分配板118之间的距离可由所述多个第一螺钉144与所述多个螺钉孔164的耦合度(即,耦合深度)来控制。
为了防止通过上盖138中的所述多个第一通孔160而注入外部空气,在所述多个第一螺钉144中每一者上形成螺钉帽172,且在螺钉帽172与上盖138之间安置例如O形环的密封构件以用于气密密封。此外,为即使当上盖138与后板114之间的距离变化时也保持气密性,在上盖138与后板114之间形成围绕所述多个第一螺钉144中每一者的可膨胀波纹管146。因此,在处理衬底120时,无论由后板114、上盖138和侧盖140围绕的空间的压力如何,由螺钉帽172和波纹管146保持由后板114和腔室主体142界定的反应空间的真空状态。
所述多个第一螺钉144可由金属材料形成。由于由RF电源130将RF功率供应到后板114,因此针对所述多个第一螺钉144中的每一者形成多个绝缘构件174,以防止后板114与上盖138的电连接。所述多个绝缘构件174可包含第一、第二、第三和第四绝缘构件164、166、168和169。为了防止每一螺钉144与上盖138之间的电连接,在所述多个第一通孔160中的每一者中形成第一绝缘构件164,且在每一螺钉144的上部与上盖138的前表面之间形成第二绝缘构件166。另外,在上盖138的后表面与波纹管146之间形成第三绝缘构件168以防止波纹管146与上盖138之间的电连接。此外,在波纹管146与后板114的前表面之间形成第四绝缘构件169以防止波纹管146与后板114之间的电连接。所述多个螺钉144可安置在上盖138的中心部分处以围绕气体入口136。
设备110可进一步包含位于由后板114和气体分配板118界定的缓冲空间126中的挡板148,以改进反应气体从气体入口136的扩散。挡板148可包含用于所述多个第一螺钉144的多个第三通孔170。
图5是展示根据本发明另一实施例的用于处理衬底的设备的平面图。
图5中,在每一螺钉144的上部与上盖138的前表面之间形成代替图4的多个第二绝缘构件166的第五绝缘构件154。第五绝缘构件154可具有圆板形状,其具有用于气体入口136的开口。另外,可使用例如多个第二螺钉176的多个第二耦合构件将第五绝缘构件154与上盖138组合。
图6是展示根据本发明另一实施例的用于处理衬底的设备的耦合构件的横截面图,且图7是展示图6的部分B的放大图。
图6和7中,用于处理衬底的设备包含后板114和气体分配板118。尽管图6和7未图示,但设备进一步包含处理腔室、气体入口、衬底夹具、气体出口、匹配器和射频(RF)电源。处理腔室包含上盖138、侧盖(未图示)和腔室主体(未图示)。上盖138与后板114间隔开,且气体分配板118与后板114间隔开。
为了防止热变形,例如在处理衬底的过程期间气体分配板118由于热膨胀而在其中心部分处的弯曲,通过包含多个第一螺钉144和多个螺钉孔164的多个第一耦合构件将气体分配板118与上盖138组合。因此,通过抑制气体分配板118由于热膨胀而引起的变形而将气体分配板118与衬底夹具之间的距离保持恒定。另外,无论后板114由于在反应空间被抽空时的压力差而引起的变形如何,气体分配板118与衬底夹具122之间的距离保持恒定。因此,通过沉积过程,形成于衬底上的薄膜具有均匀厚度,或通过蚀刻过程,形成于衬底上的薄膜图案具有均匀轮廓。
上盖138包含多个第一通孔160,且后板114包含多个第二通孔162,以用于组合气体分配板118与上盖138。另外,气体分配板118包含多个螺钉孔164。第一螺纹190形成于所述多个第一螺钉144中每一者的下部的外表面上,且第二螺纹192形成于所述多个螺钉孔164中每一者的内表面上。所述多个第一螺钉144穿过所述多个第一通孔160和所述多个第二通孔162,且分别与所述多个螺钉孔164组合。因此,所述多个螺钉孔164可不穿透气体分配板118。所述多个第一螺钉144中的每一者可不接触所述多个螺钉孔164中的每一者的底部,以界定缓冲区194。因此,上盖138与气体分配板118之间的距离可由所述多个第一螺钉144与所述多个螺钉孔164的耦合度(即,耦合深度)来控制。
上盖138进一步包含多个注射孔196,所述多个第一螺钉144的上部插入到所述注射孔中以使得所述多个第一螺钉144的上部(即,头部)与上盖138一起形成平坦表面而没有突出部。
为了防止通过上盖138中的所述多个第一通孔160而注入外部空气,在所述多个第一螺钉144中每一者上形成具有板形状的螺钉帽172,且在螺钉帽172与上盖138之间安置例如O形环的密封构件以用于气密密封。此外,为即使当上盖138与后板114之间的距离变化时也保持气密性,在上盖138与后板114之间形成围绕所述多个第一螺钉144中每一者的可膨胀波纹管146。另外,使用例如O形环的密封构件,在上盖138与波纹管146之间形成第一凸缘221,且在波纹管146与后板114之间形成第二凸缘224。因此,在处理衬底时,无论后板114与上盖138之间的空间的压力如何,由螺钉帽172和波纹管146保持由后板114和腔室本体界定的反应空间的真空状态。
所述多个第一螺钉144可由金属材料形成。由于由RF电源将RF功率供应到后板114,因此针对所述多个第一螺钉144中的每一者形成多个绝缘构件174,以防止后板114与上盖138的电连接。所述多个绝缘构件174可包含第一、第二、第三和第四绝缘构件200、202、204和206。为了防止每一螺钉144与上盖138之间的电连接,在每一插入孔196中的每一第一螺钉144的上部上形成第一绝缘构件200,且在每一第一通孔160的上部中形成第二绝缘构件202,以围绕每一螺钉144。
另外,第三绝缘构件204形成于每一第一通孔160的下部中以围绕每一螺钉144,且形成于上盖138与第一凸缘222之间。因此,由第三绝缘构件204防止每一第一螺钉144与上盖138之间的电连接以及波纹管146与上盖138之间的电连接。此外,在第二凸缘224与后板114之间形成第四绝缘构件206以防止波纹管146与后板114之间的电连接。在另一实施例中,所述多个绝缘构件174可进一步包含位于波纹管146中的第五绝缘构件以防止每一第一螺钉144与波纹管146之间的电连接。第一凸缘222和第三绝缘构件204可与例如O形环的密封构件组合,且第二凸缘224和第四绝缘构件206可与例如O形环的密封构件组合。
第一绝缘构件200包含位于其下圆周部分处的第一台阶部210。另外,第二绝缘构件202包含位于其上圆周部分处的第二台阶部212以及位于其下圆周部分处的第三台阶部214。此外,第三绝缘构件204包含位于其上圆周部分处的第四台阶部216。第一和第二台阶部210和212具有彼此对应的形状以使得第一台阶部210插入到第二台阶部212内。类似地,第三和第四台阶部214和216具有彼此对应的形状以使得第三台阶部214插入到第四台阶部216内。因此,第一和第二绝缘构件200和202彼此组合,且第二和第三绝缘构件202和204彼此组合。在每一第一螺钉144通过每一第一通孔160中的第二和第三绝缘构件202和204而与气体分配板118组合之后,第一绝缘构件200可与上盖138组合。
因此,在根据本发明一实施例的用于处理衬底的设备中,由于气体分配板的中心部分通过后板与处理腔室的上盖组合,因此防止气体分配板由于热膨胀而变形。另外,由于上盖与后板之间的空间具有高于具有衬底的反应空间的压力的压力,因此上盖不经历压力差。因此,防止由于压力差使气体分配板根据后板的变形而变形。因此,气体分配板与衬底之间的距离保持恒定,且改善了对衬底的处理(例如设备的沉积和蚀刻)的均匀性。
所属领域的技术人员将了解,可在不脱离本发明精神或范围的情况下在本发明的用于处理衬底的设备中做出各种修改和变化。因此,期望本发明涵盖对本发明的修改和变化,只要其处于所附权利要求书及其等效物的范围内。

Claims (6)

1.一种用于处理衬底的设备,其包括:
腔室,其包含上盖和腔室主体;
后板,其位于所述腔室中,且与所述上盖间隔开,以在二者之间形成一空间,所述后板与所述腔室主体形成一反应空间,所述后板用作第一等离子体电极;
气体分配板,其在所述后板下方,所述气体分配板包含多个注射孔,使用多个第一耦合构件将所述气体分配板与所述上盖组合,其中所述多个第一耦合构件包含多个金属材料的第一螺钉和位于所述气体分配板中的多个螺钉孔;
螺钉帽,其位于所述多个第一螺钉中的每一者上;
可膨胀波纹管,其位于所述上盖与所述后板之间以用于气密密封,其中所述可膨胀波纹管围绕所述多个第一螺钉中的每一者;
第一、第二、第三和第四绝缘构件,其用于使所述上盖与所述气体分配板绝缘,其中所述第一绝缘构件形成于所述多个第一通孔中的每一者中,所述第二绝缘构件形成于所述多个第一螺钉中的每一者与所述上盖的前表面之间,所述第三绝缘构件形成于所述上盖的后表面与所述波纹管之间,且所述第四绝缘构件形成于所述波纹管与所述后板之间,且其中所述第二绝缘构件具有具有开口的圆板形状且使用多个第二耦合构件将所述第二绝缘构件与所述上盖组合;
气体入口,其通过所述上盖与所述后板的中心连接至位于所述后板和所述气体分配板之间的缓冲空间;
挡板,其位于所述后板与所述气体分配板之间,且对应于所述气体入口,其中所述挡板包含用于所述多个第一螺钉的多个第三通孔;以及
衬底夹具,其在所述气体分配板下方,所述衬底夹具在其上具有所述衬底,且用作第二等离子体电极;
其中所述空间与所述反应空间具有压力差,且所述气体分配板与所述后板间隔开,所述气体分配板固定到从所述后板延伸的支撑器或由该支撑器支撑,使得所述气体分配板的边界部分与所述后板的边界部分结合,且所述气体分配板的中心部分与所述后板的中心部分间隔开,以在所述反应空间中界定所述缓冲空间;
其中所述上盖包含多个第一通孔且所述后板包含多个第二通孔;且
其中所述多个第一螺钉中的每一个从所述多个第一通孔中的每一个延伸至所述气体分配板,且穿过所述多个第二通孔中的每一个,从而所述多个第一螺钉与所述多个螺钉孔组合,且所述后板的中心不固定于所述上盖和所述气体分配板中的每一者上,从而无论所述后板当所述反应空间被抽空时的压力差而引起的变形如何,所述气体分配板与所述衬底夹具之间的距离保持恒定;且
其中,所述多个第一螺钉定位于与所述气体入口相邻,以固定所述上盖和所述气体分配板的中心部分,使得所述多个第一螺钉穿过所述多个第三通孔。
2.根据权利要求1所述的设备,其中将射频功率施加于所述后板和所述衬底夹具,使得在所述腔室中产生等离子体。
3.根据权利要求1所述的设备,其中所述多个第一螺钉中的每一者包含位于其下部的外表面上的第一螺纹,且所述多个螺钉孔中的每一者包含位于其内表面上的第二螺纹。
4.根据权利要求3所述的设备,其中所述上盖与所述气体分配板之间的距离由所述多个第一螺钉与所述多个螺钉孔的耦合度控制。
5.根据权利要求1所述的设备,其进一步包括连接到所述腔室的气体出口、连接到所述后板的匹配器以及连接到所述匹配器的射频电源。
6.一种用于处理衬底的设备,其包括:
腔室,其包含上盖和腔室主体,其中所述上盖包含多个注射孔;
后板,其位于所述腔室中,且与所述上盖间隔开,以在二者之间形成一空间,所述后板与所述腔室主体形成一反应空间,所述后板用作第一等离子体电极;
气体分配板,其在所述后板下方,所述气体分配板包含多个注射孔,使用多个第一耦合构件将所述气体分配板与所述上盖组合,其中所述多个第一耦合构件包含多个金属材料的第一螺钉和位于所述气体分配板中的多个螺钉孔,且其中所述多个第一螺钉的上部插入到所述多个注射孔中;
螺钉帽,其位于所述多个第一螺钉中的每一者上;
可膨胀波纹管,其位于所述上盖与所述后板之间以用于气密密封,其中所述可膨胀波纹管围绕所述多个第一螺钉中的每一者;
位于所述上盖与所述波纹管之间的第一凸缘以及位于所述波纹管与所述后板之间的第二凸缘;
第一、第二、第三和第四绝缘构件,其用于使所述上盖与所述气体分配板绝缘,其中所述第一绝缘构件形成于位于所述多个插入孔中的每一者中的所述多个第一螺钉的每一者的上部上,所述第二绝缘构件形成于所述多个第一通孔中的每一者的上部中,所述第三绝缘构件形成于所述多个第一通孔中的每一者的下部中,且所述第四绝缘构件形成于所述第二凸缘与所述后板之间;
气体入口,其通过所述上盖与所述后板的中心连接至位于所述后板和所述气体分配板之间的缓冲空间;
挡板,其位于所述后板与所述气体分配板之间,且对应于所述气体入口,其中所述挡板包含用于所述多个第一螺钉的多个第三通孔;以及
衬底夹具,其在所述气体分配板下方,所述衬底夹具在其上具有所述衬底,且用作第二等离子体电极;
其中所述空间与所述反应空间具有压力差,且所述气体分配板与所述后板间隔开,所述气体分配板固定到从所述后板延伸的支撑器或由该支撑器支撑,使得所述气体分配板的边界部分与所述后板的边界部分结合,且所述气体分配板的中心部分与所述后板的中心部分间隔开,以在所述反应空间中界定所述缓冲空间;
其中所述上盖包含多个第一通孔且所述后板包含多个第二通孔;且其中所述多个第一螺钉中的每一个从所述多个第一通孔中的每一个延伸至所述气体分配板,且穿过所述多个第二通孔中的每一个,从而所述多个第一螺钉与所述多个螺钉孔组合,且所述后板的中心不固定于所述上盖和所述气体分配板中的每一者上,从而无论所述后板由于所述反应空间被抽空时的压力差而引起的变形如何,所述气体分配板与所述衬底夹具之间的距离保持恒定;
其中所述第一绝缘构件包含位于其下圆周部分处的第一台阶部,第二绝缘构件包含位于其上圆周部分处的第二台阶部以及位于其下圆周部分处的第三台阶部,且第三绝缘构件包含位于其上圆周部分处的第四台阶部,且其中所述第一台阶部插入到所述第二台阶部内,且所述第三台阶部插入到所述第四台阶部内;且
其中,所述多个第一螺钉定位于与所述气体入口相邻,以固定所述上盖和所述气体分配板的中心部分,使得所述多个第一螺钉穿过所述多个第三通孔。
CN200810187306.7A 2007-12-26 2008-12-26 用于处理衬底的设备 Active CN101469416B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020070137630 2007-12-26
KR1020070137630A KR101444873B1 (ko) 2007-12-26 2007-12-26 기판처리장치
KR10-2007-0137630 2007-12-26

Publications (2)

Publication Number Publication Date
CN101469416A CN101469416A (zh) 2009-07-01
CN101469416B true CN101469416B (zh) 2014-07-23

Family

ID=40796586

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200810187306.7A Active CN101469416B (zh) 2007-12-26 2008-12-26 用于处理衬底的设备

Country Status (4)

Country Link
US (1) US20090165722A1 (zh)
KR (1) KR101444873B1 (zh)
CN (1) CN101469416B (zh)
TW (1) TW200943454A (zh)

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101565537B1 (ko) * 2009-09-16 2015-11-03 주식회사 원익아이피에스 진공처리장치 및 그에 사용되는 커버부재
CN102054659B (zh) * 2009-11-05 2013-04-10 圆益Ips股份有限公司 基片处理装置及为此使用的覆盖元件
KR101535103B1 (ko) * 2009-11-06 2015-07-09 주식회사 원익아이피에스 기판처리장치
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
ITMI20100249U1 (it) 2010-07-16 2012-01-17 Alfa Laval Corp Ab Dispositivo di scambio termico con sistema perfezionato di distribuzione del fluido refrigerante
KR101582481B1 (ko) * 2010-11-04 2016-01-05 주식회사 원익아이피에스 기판처리장치, 그에 사용되는 커버부재, 그에 사용되는 트레이 및 기판처리방법
CN102534551B (zh) * 2010-12-17 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备
KR101719578B1 (ko) * 2011-01-18 2017-03-24 주성엔지니어링(주) 기판 처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103745902A (zh) * 2013-12-16 2014-04-23 深圳市华星光电技术有限公司 Pecvd处理装置及在基板上进行pecvd处理的方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102256690B1 (ko) * 2014-07-07 2021-05-25 세메스 주식회사 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102015118765A1 (de) * 2014-11-20 2016-06-09 Aixtron Se Vorrichtung zum Beschichten eines großflächigen Substrats
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106684028B (zh) * 2015-11-10 2019-05-31 北京北方华创微电子装备有限公司 承载装置、反应腔室及半导体加工设备
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
JP7446145B2 (ja) * 2020-04-07 2024-03-08 東京エレクトロン株式会社 基板処理装置
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111304594A (zh) * 2020-04-23 2020-06-19 苏州迈正科技有限公司 真空装置及真空镀膜设备
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101090998A (zh) * 2004-08-02 2007-12-19 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4493932B2 (ja) * 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR20070036844A (ko) * 2005-09-30 2007-04-04 코스텍시스템(주) 반도체 및 액정표시 장치 제조용 플라즈마 화학 증착 챔버
US7591710B2 (en) * 2005-12-30 2009-09-22 Essilor International (Compagnie Generale D'optique) Polishing machine comprising a work chamber and a platform
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101090998A (zh) * 2004-08-02 2007-12-19 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器

Also Published As

Publication number Publication date
KR20090069826A (ko) 2009-07-01
US20090165722A1 (en) 2009-07-02
TW200943454A (en) 2009-10-16
KR101444873B1 (ko) 2014-09-26
CN101469416A (zh) 2009-07-01

Similar Documents

Publication Publication Date Title
CN101469416B (zh) 用于处理衬底的设备
KR100441297B1 (ko) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
KR101451244B1 (ko) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
TWI407505B (zh) Plasma chemical reactor
US7709063B2 (en) Remote plasma apparatus for processing substrate with two types of gases
US6886491B2 (en) Plasma chemical vapor deposition apparatus
US10533252B2 (en) Showerhead, semicondcutor processing apparatus having the same and semiconductor process
US7927455B2 (en) Plasma processing apparatus
TWI455238B (zh) Hdp-cvd應用之高輪廓極小接觸的處理套組
CN104046961B (zh) 衬底支撑器以及包含所述衬底支撑器的衬底处理设备
KR20080058627A (ko) 가스 분사 노즐과, 이를 이용한 기판 처리 장치 및 방법
KR20020010465A (ko) 개선된 샤워헤드를 구비한 반도체 제조장치
KR101362892B1 (ko) 돔이 형성된 디퓨저커버를 포함하는 기판처리장치
JP4404303B2 (ja) プラズマcvd装置及び成膜方法
CN103874314A (zh) 一种电感耦合等离子装置
JP2009123906A (ja) プラズマ処理装置
KR100621419B1 (ko) 대면적용 다중전극 배열을 갖는 플라즈마 처리장치
KR102661733B1 (ko) 복수의 플라즈마를 이용한 기판처리장치
KR20170075163A (ko) 가스분사부 및 이를 구비하는 원자층 증착장치
JPS62218577A (ja) 気相反応装置用電極
US20230374662A1 (en) Substrate processing device
JP2008251838A (ja) プラズマ処理装置
JP4554712B2 (ja) プラズマ処理装置
KR20050087405A (ko) 고밀도 플라즈마를 발생하는 샤워헤드를 구비한화학기상증착장치
KR101104638B1 (ko) 플라즈마 처리장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant