JP5302865B2 - 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ - Google Patents

大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ Download PDF

Info

Publication number
JP5302865B2
JP5302865B2 JP2009272100A JP2009272100A JP5302865B2 JP 5302865 B2 JP5302865 B2 JP 5302865B2 JP 2009272100 A JP2009272100 A JP 2009272100A JP 2009272100 A JP2009272100 A JP 2009272100A JP 5302865 B2 JP5302865 B2 JP 5302865B2
Authority
JP
Japan
Prior art keywords
plate
hole
gas distribution
plate assembly
distribution plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2009272100A
Other languages
English (en)
Other versions
JP2010077537A (ja
Inventor
スー, ヤン チョイ,
チャンヤン シャン,
ロバート, アイ. グリーン,
リー ホウ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2010077537A publication Critical patent/JP2010077537A/ja
Application granted granted Critical
Publication of JP5302865B2 publication Critical patent/JP5302865B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Description

開示の背景
発明の分野
[0001]本発明の実施形態は、一般的に、ガス分配プレートアセンブリと、処理チャンバ内におけるガスの分配方法とに関する。
背景技術の説明
[0002]液晶ディスプレイまたはフラットパネルは、コンピュータおよびテレビのモニタのようなアクティブマトリックス・ディスプレイ用として広く使用されている。一般的に、フラットパネルは、液晶材料を間に挟持した2枚のガラス板を備える。少なくとも一方のガラス板の上には、電源と結合した少なくとも1枚の伝導膜が配置されている。電源から伝導膜に供給された電力によって液晶材料の配向性が変更され、ディスプレイ上で見られるテキストまたはグラフィックのようなパターンが形成される。フラットパネルの生産に頻繁に使用される製造工程はプラズマ化学気相堆積(PECVD)である。
[0003]一般的に、プラズマ化学気相堆積法は、フラットパネルまたは半導体ウェーハのような基板上で薄膜を堆積させるために採用される。プラズマ増強型化学気相堆積は、一般的に、フラットパネルを収納した真空チャンバ内に前駆体ガスを導入することで達成される。典型的に、前駆体ガスは、真空チャンバの頂部付近に設置された分配プレートを貫通して下方に向いている。真空チャンバと結合した1つまたはそれ以上のRF源から真空チャンバにRF電力を印加することで、チャンバ内の前駆体ガスが電圧を活性化(例えば励起)されてプラズマ化する。励起されたガスが反応し、温度制御された基板支持部上に位置決めされたフラットパネルの基板上に材料層を形成する。フラットパネルが低温ポリシリコンの層を受ける用途では、基板支持部を、400℃を超える温度に加熱できる。反応の最中に生成される揮発性の副次物が、排出システムを介してチャンバから汲み出される。
[0004]典型的に、PECVD技術で処理されたフラットパネルは大型であり、多くの場合そのサイズは370×470mmを越え、1平方メートルに及ぶ。近い将来、4平方メートルに近い、およびこれを越える大面積基板が構想される。フラットパネル全体にかけて処理ガスの均一な流れを提供するように使用されるガス分配プレートのサイズもこれに比例し、特に200mmおよび300mmの半導体ウェーハ処理に使用されるガス分配プレートと比べて大型である。
[0005]フラットパネル処理に使用される大型のガス分配プレートには、製造上の問題点が多く、その結果製造コストが高くなる。例えば、ガス分配プレートに形成されたガス流穴の直径は、ガス分配プレートを貫通して形成されたガス分配プレートの厚さに対して小さく、例えば3.048cm(1.2インチ)の厚さのプレートを貫通する0.062の直径穴であり、その結果、穴形成の最中にドリル先端部破損が生じる頻度が高まる。破損したドリル先端部の除去には時間がかかり、結果的にガス分配プレート全体が解体されてしまうこともある。これに加え、ガス分配プレートにかけて形成されるガス流穴の数はフラットパネルのサイズに比例するため、各プレートに形成された多数の穴が、プレート製造の最中に高い確率で生じるトラブルの原因となり不利である。さらに、ドリル先端部破損を最小化するために穴の数を増やすことは、製造時間を長くし、これにより製造コストが増加する。
[0006]材料のコストおよびガス分配プレートの製造コストが高いため、効率的およびコスト効率的に製造できる形状のガス分配プレートを開発することが有利である。さらに、次世代のガス分配プレートのサイズが、1.2平方メートルを超える処理フラットパネルを収容するように増大するに従って、前述の問題の解決が益々重要になる。
[0007]大型ガス分配プレートの設計のコストの意味合いが重要である一方で、性能属性も見過ごしてはならない。例えば、ガス流穴の形状、位置、密度は、堆積均一性属性および洗浄属性のような堆積性能に直接影響する。例えば、ガス分配プレートにかけて形成されたガス流穴が背圧を発生し過ぎると、洗浄に使用される解離したフッ素が再結合する傾向が増加し、洗浄効果を不利に減少させることになる。さらに、典型的にフッ素は膜異物であり、ガス分配プレートの表面エリアは、これにかけて優れた流れを助長しながら、基板へのフッ素の付着エリアを最小化するように構成しなければならない。
[0008]したがって、改善されたガス分配プレートアセンブリが必要である。
[0009]処理チャンバ内にガスを分配するためのガス分配プレートの実施形態を提供する。一実施形態では、ガス分配プレートは、拡散プレートの上流側部と下流側部の間を通る複数のガス通路を有する拡散プレートを含む。少なくとも1つのガス通路は、オリフィス穴によって結合した第1穴と第2穴を含む。第1穴は拡散プレートの上流側部から延び、第2穴は下流側部から延びている。オリフィス穴の直径は、第1穴および第2穴の各々の直径よりも小さい。
[0010]本発明の教示は、添付の図面と共に以下の詳細な記載を考慮することで簡単に理解できる。
理解を容易にするために、複数の図面中で共通する同一の要素を示すために、可能な箇所には同一の参照符号を用いている。
詳細な説明
[0018]一般的に、本発明は、処理チャンバ内にガス搬送を提供するためのガス分配プレートアセンブリを提供する。これ以降、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社の一事業部であるAKTより市販されているプラズマ化学気相堆積(PECVD)システムのような大面積基板を処理するように構成されたプラズマ化学気相堆積システムを参照しながら、本発明を例証的に記載する。しかし、本発明は、エッチングシステム、その他の化学気相堆積システム、さらにこれ以外の、処理チャンバ内でのガスの分配が望ましいシステムのような、円形基板を処理するように構成されたシステムを含むその他のシステム構成において使用できることが理解されるべきである。
[0019]図1は、プラズマ化学気相堆積システム100の一実施例の断面図である。このシステム100は、一般的に、ガス源104と結合した処理チャンバ102を含む。処理チャンバ102は、処理容量112の一部分を画成する壁部106と底部108を有する。典型的に、処理容量112には、壁部106に形成された、処理チャンバ102に出入りする基板140の移動を容易にするためのポート(図示せず)を介して接触することができる。典型的に、壁部106と底部108は、アルミニウムまたは処理に適したこれ以外の材料の単体ブロックで製造される。壁部106は、処理容量112を排出ポート(図示にはない様々なポンピング構成要素を含む)と結合するポンピングプレナム114を内容した蓋アセンブリ110を支持している。
[0020]温度制御された基板支持アセンブリ138は、処理チャンバ102の中央に配置される。処理の最中に、支持アセンブリ138はガラス基板140を支持する。一実施形態では、基板支持アセンブリ138は、少なくとも1つの組み込み型加熱器132を封入するアルミニウム本体124を備える。
[0021]支持アセンブリ138内に配置された抵抗要素のような加熱器132が電源130と結合しており、支持アセンブリ138と、この上に位置決めされたガラス基板140とを所定の温度に制御可能に加熱する。典型的に、CVD処理では、加熱器132はガラス基板140を、堆積させる材料の堆積処理パラメータに従って、約150℃〜少なくとも約460℃の一定温度に維持する。
[0022]一般的に、支持アセンブリ138は下方側部126と上方側部134を有する。上方側部134はガラス基板140を支持する。下方側部126はこれと結合した軸142を有する。軸142は、支持アセンブリ138を昇降システム(図示せず)と結合するが、この昇降システムは、上昇処理位置(図示せず)と、処理チャンバ102への、また処理チャンバ102からの基板の移送を容易にする降下位置との間で、支持アセンブリ138を移動させるものである。さらに、軸142は、支持アセンブリ138とシステム100の他の構成部品との間に、電気および熱結合導線のための導管を提供する。
[0023]支持アセンブリ138(または軸142)と処理チャンバ102の底部108との間に蛇腹部146が結合している。この蛇腹部146は、支持アセンブリ138の垂直移動を容易にしながら、チャンバ容量112と処理チャンバ102外の待機との間に真空密封を提供する。
[0024]一般的に、支持アセンブリ138は接地されているため、電源122から、蓋アセンブリ110と基板支持アセンブリ138(または、処理チャンバの蓋アセンブリ内、あるいはその付近に位置決めされているこれ以外の電極)の間に位置決めされたガス分配プレートアセンブリ118へ供給されるRF電力が、支持アセンブリ138と分配プレートアセンブリ118の間の処理チャンバ112内に存在するガスを励起する。電源122からのRF電力は、化学気相堆積処理を推進するために、一般的に、基板のサイズと等しく選択される。
[0025]さらに支持アセンブリ138は、境界を定めるシャドウフレーム148も支持する。一般的に、シャドウフレーム148は、ガラス基板140の縁と支持アセンブリ138における堆積を防止することで、基板が支持アセンブリ138にくっつかないようにしている。
[0026]
支持アセンブリ138は、これを貫通した、複数のリフトピン150を受容するための複数の穴128を有する。典型的に、リフトピン150はセラミックまたは陽極処理したアルミニウムから構成されている。一般的に、リフトピン150が正常位置(つまり支持アセンブリ138に対して引っ込んだ状態)にある際に、リフトピン150は、支持アセンブリ138の上方側部134と実質的に同じ高さ、またはこれよりも若干低い場所に位置する第1端部を有する。第1端部は、典型的に、リフトピン150が穴128から落下することを防止するようにフレア型に広がっている。さらに、リフトピン150は、支持アセンブリ138の下方側部126を越えて延びる第2端部を有する。昇降プレート154により、リフトピン150を、支持アセンブリ138に対して、支持面13から突出するよう作動させることができ、これにより、基板が支持アセンブリ138から離間して置かれる。
[0027]昇降プレート154は、基板支持アセンブリ138の下方側部126と処理チャンバ102の底部108との間に配置される。昇降プレート154は、軸142の部分の境界を定めるカラー156によってアクチュエータ(図示せず)に接続している。蛇腹部146は、処理チャンバ102への外部環境からの処理チャンバ容量112の隔離を維持しながら、軸142とカラー156が個別に移動できるようにする上方部分168と下方部分170を含む。一般的に、昇降プレート154は、支持アセンブリ138と昇降プレート154が相互に対して近接して移動する際に、リフトピン150を上方側部134から延ばすために作動される。
[0028]蓋アセンブリ110は、処理容量112に上方境界を提供する。典型的に、蓋アセンブリ110は、処理チャンバ102の点検時に取り外しまたは開放することができる。一実施形態では、蓋アセンブリ110はアルミニウム製である。
[0029]蓋アセンブリ110は、その内部に形成され、外部ポンピングシステム(図示せず)と結合したポンピングプレナム114を含む。このポンピングプレナム114は、ガスと処理副次物を、処理容量112から、さらに処理チャンバ102の外部へと均一に流通させるために使用される。
[0030]典型的に、蓋アセンブリ110は入口ポート180を含み、ガス源104によって供給された処理ガスがこの入口ポートを通って処理チャンバ102内に導入される。入口ポート180はさらに洗浄源182とも結合している。典型的に、洗浄源182は、ガス分配プレートアセンブリ118を含む処理チャンバハードウェアから堆積副次物と膜を除去するために処理チャンバ102内に導入される例えば解離したフッ素のような洗浄剤を提供する。
[0031]ガス分配プレートアセンブリ118は、蓋アセンブリ110の内側部120に結合している。典型的に、ガス分配プレートアセンブリ118は、例えば大面積基板は多角形、ウェーハは円形のようなガラス基板140の輪郭と実質的に合うように構成されている。ガス分配プレートアセンブリ118は穿孔エリア116を含んでおり、ガス源104から供給された処理ガスおよびその他のガスが、この穿孔エリアを通って処理容量112へと搬送される。ガス分配プレートアセンブリ118の穿孔エリア116は、ガス分配プレートアセンブリ118を通って処理チャンバ102に入るガスを均一に分配するように構成されている。本発明の恩恵を受けるように適合されたあるガス分配プレートが、2001年8月8日に出願されたKellerらによる米国特許明細書第09/922,219号;Bloniganらによる、2002年5月6日に出願された第10/140,324号、2003年1月7日に提出された10/337,483号;さらに2002年11月12に出願されたWhiteらによる米国特許第6,477,980号に記載されており、これらはその全体を参照として本明細書に組み入れられる。
[0032]典型的に、ガス分配プレートアセンブリ118は、ハンガープレート160から垂下された拡散プレート158を含む。あるいは、この拡散プレート158とハンガープレート160は、1個の単体部材であってもよい(図3に示すガス分配プレートアセンブリ300に示すとおり)。拡散プレート158全体には複数のガス通路162が形成されており、ガス分配プレートアセンブリ118を通過して処理容量112内に入るガスの所定の分配を可能にする。ハンガープレート160は、拡散プレート158と蓋アセンブリ110の内面120とを離間させて維持し、これにより、この間にプレナム164が画成される。プレナム164によって、蓋アセンブリ110を流れるガスが、拡散プレート158の幅全体にかけて均一に分配されるため、中央の穿孔エリア116の上にガスが均一に供給され、ガス通路162内を均一に分配された状態で流れることができる。
[0033]典型的に、ハンガープレート160はステンレス鋼、アルミニウム、ニッケル、またはこれ以外のRF伝導性材料から製造される。ハンガープレート160は、蓋アセンブリ110に形成されたガス入口ポート180からハンガープレート160を通り、拡散プレート158のガス通路162を通る、障害物のないガス流を容易にする中央アパーチャ166を含む。一般的に、ハンガープレート160は、拡散プレート158を蓋アセンブリ110またはチャンバ壁106と結合するための取り付け面を提供する。
[0034]拡散プレート158は、典型的にステンレス鋼、アルミニウム、ニッケル、またはその他のRF伝導性材料から製造される。拡散プレート158は、基板処理に悪影響を及ぼさないよう、アパーチャ166にかけて十分な平坦性を維持する厚さで構成される。一実施形態では、拡散プレート158の厚さは約3.048cm(約1.2インチ)である。
[0035]図2は、拡散プレート158の部分断面図である。拡散プレート158は、蓋アセンブリ110と面した第1または上流側部202と、この反対側に位置する、支持アセンブリ138と面した第2または上流側部204と含む。一実施形態では、拡散プレート158はアルミニウムから製造され、少なくとも下流側部204上に陽極処理が施されている。下流側部204上への陽極処理により、プラズマの均一性を増加させることが分かっている。後の処理中に放出されることで異物の原因となる、洗浄中のフッ素吸収を制限するために、場合により上流側部202には陽極処理を施さなくてもよい。
[0036]一実施形態では、各々のガス通路162は、オリフィス穴214によって第2ボア212と結合した第1ボア210によって画成されており、この組み合わせがガス分配プレート158にかけて流路を形成する。第1ボア210は、ガス分配プレート158の上流側部202から底部218までの第1深さ230にかけて延びている。第1ボア210の底部218は、ガスが第1ボアからオリフィス穴210内に流入する際の流量制限を最小化するために、先細り、傾斜、面取りまたは丸くされている。一般的に、、第1ボア210の直径は約0.236〜0.553cm(約0.093〜0.218インチ)であり、一実施形態では約0.396cm(約0.156インチ)である。
[0037]第2ボア212は拡散プレート158に形成され、下流側部204から約0.635〜0.918cm(約0.250〜約0.375インチ)の深さ232にかけて延びている。第2ボア212の直径は、一般的に、約0.474〜0.952cm(約0.187〜約0.375インチ)であり、約22〜35度の角度216でフレア型に広がっていてもよい。一実施形態では、第2ボア212の直径は0.812cm(0.320インチ)であり、約35度の角度216でフレア型に広がっている。別の実施形態では、近接した第2ボア212の縁282どうしの間の距離280は約25〜約85ミリメートルである。通常、第1ボア210の直径は第2ボア212の直径と少なくとも等しい、またはこれよりも小さいが、これに限定されるものではない。第2ボア212の底部220は、オリフィス穴214から出て第2ボア212内へ流入するガスの圧力損失を最小化するために、先細り、傾斜、面取り、または丸くされていてもよい。さらに、第2ボア212の露出面エリアを最小化するように機能する部分の下流側部204へのオリフィス穴214の付近と、基板に面した下流側部204との付近では、チャンバ洗浄中に供給されたフッ素に晒される拡散プレート158の下流エリアが減少し、これにより堆積した膜のフッ素異物の発生が減少する。
[0038]一般的に、オリフィス穴214は、第1穴210の底部218と第2ボア212の底部220を結合する。一般的に、オリフィス穴の直径は約0.25〜約0.74mm(約0.02〜約0.3インチ)であり、その長さ234は、典型的には約0.10〜約0.21cm(約0.040〜約0.085インチ)である。オリフィス穴214の長さ234と直径(またはこれ以外の形状的属性)は、ガス分配プレート158の上流側部202にかけてガスの分配を容易にするプレナム164内の背圧の主要なソースである。典型的に、オリフィス穴214は、複数のガス通路162の間に均一に構成されているが、しかし、オリフィス穴214通過の規制は、さらに多くのガスがガス分配プレート158の別のエリアに対する或る1つのエリアを通って流れるようにするために、ガス通路162の中でも異なる形状に構成することができる。例えば、ガラス基板の外辺部における堆積速度を加速化するように穿孔エリア116の縁にかけてより大量のガスが流れるようにするために、ガス分配プレート158の外辺部により接近したガス通路262内に設けられたオリフィス穴214は、より大きな直径および/またはより短い長さ234を有してもよい。
[0039]オリフィス穴214の長さ234比較的短く、2つの大型直径ボア210、212の間に位置決めされているため、オリフィス穴214は、最小のドリル破損の可能性にて、ガス分配プレート158に効率的に製造することができる。そのため、本発明のガス分配プレート158は、穿孔エリアに形成した多数のガス通路のドリル破損と分配プレートからの引き抜きにかかる費用が共通して生じる従来のガス分配プレートと比較して、コスト安にて製造できる。さらに、蓋アセンブリ110を通って流入する洗浄剤に直接晒されるガス分配プレート158の上流側部202の表面エリアは、プレートの上流側部に直接形成したガス流オリフィスを有する従来のガス分配プレートよりも著しく小さく、陽極処理したガス分配プレート158のフッ素含有量は、複数の洗浄サイクルの期間にかけて減少する傾向にあり、これにより、処理中に放出されるフッ素の量が減少する。
[0040]オリフィス穴214に提供される全ての規制は、拡散プレート158の上流の存在する背圧に直接影響するため、洗浄中に使用される解離したフッ素の再組み合わせを防止するように構成する必要がある。これに関連し、オリフィス穴の直径は、穴の数に対比させなければならない。オリフィス穴の直径を大きくすることで、使用する穴の数を減らし、製造コストの削減を実現できるのに対し、隣接する第2ボア212の縁282同士の間隔を、約25〜約50ミリメートルのより低い範囲内で選択することで、より大量のガス流穴密度を有する従来の拡散プレートと比較した堆積均一性能を達成できる。
[0041]図2に示す実施形態では、ハンガープレート160と拡散プレート158は、撓み、歪みを生じることなく、または、ガス分配プレートアセンブリ118を通るガス流の均一性に影響する形で拡散プレート158に不利に応力をかけることなく、拡散プレート158の熱膨張と収縮を容易にする方法で結合することができる。一実施形態では、ハンガープレート160は、主本体262から外方へ延びた第1フランジ264と、第1フランジ264と反対方向に内方に延びた第2フランジ260とを含んだ多角形フレームである。あるいは、ハンガープレート160はフランジ付きシリンダであってもよい。第1フランジ264は複数の取り付け穴266を含んでおり、その各々は蓋アセンブリ110に形成されたねじ切りされた穴278と整列する。逃げ口を設けた固定具268の各々が取り付け穴266を貫通し、ねじ切りされた穴278内にねじ込まれて、ハンガープレート160を蓋アセンブリ110に固着させる。
[0042]第2フランジ260には、ドウェルピン244をそれぞれ保持する内部に形成された複数の穴270を含む。ドウェルピン244(図2中に1つ示す)は、第2フランジ260から第1フランジ262へ、そして蓋アセンブリ110の内面120へと上方に向かって延びている。拡散プレート158を貫通して形成された穴またはスロット246は、それぞれ対応するピン244を受容するよう適合されている。
[0043]さらに、図4に示すハンガープレート160の部分断面正面図を参照すると、拡散プレート158のスロット246は、拡散プレート158がドウェルピン244に対して移動できるよう、ドウェルピン244に対して十分大きいため、拡散プレート158とハンガープレート160と蓋アセンブリ110間の熱膨張の差の補正が容易になる。図4に示すように、典型的に、スロット246は、プレートアセンブリ118の2本の軸に沿った拡大を収容するために拡散プレート158の各側部に沿って、多角形方向に偏向されている。あるいは、スロット246を、円形のガス分配プレートに合わせて放射状に構成することもできる。これにより、分散プレートアセンブリ118が加熱および冷却された際に、拡散プレート158が蓋アセンブリ110に対して自由に移動することで、拡散プレート158がたわみ、またはこれ以外の、アセンブリ118を歪ませる、もしくは分配プレートアセンブリ118内を通るガスのパターン変形せる応力を含まないようになる。あるいは、拡散プレート158から延びているピンを受容するために、ハンガープレート160にスロットを形成してもよい。
[0044]図5は、ガス分配プレートアセンブリ500の別の実施形態を示す部分断面図である。ガス分配プレートアセンブリ500は、上述したものと類似する蓋アセンブリ110に取り付けられたハンガープレート160と拡散プレートアセンブリ502を含む。拡散プレートアセンブリ502は、拡散プレート506と結合した調整プレート504を含む。ガス分配プレートアセンブリ500と蓋アセンブリ110の間に画成されたプレナム510から処理チャンバの処理エリア512へガスを分配するために、調整プレート504と拡散プレート506にかけて複数のガス通路508が形成されている。
[0045]ガス通路508は、上述のガス通路162と類似して構成されているが、各ガス通路508の上流部分が調整プレート504にかけて形成され、下流部分が拡散プレート506にかけて形成されている点が異なる。例えば、第1ボア520の少なくとも一部分が調整プレート504に形成され、その一方で第2ボア522の少なくとも一部分が拡散プレート506に形成されている。第1ボア520と第2ボア522を流体結合するオリフィス穴524を、少なくとも部分的に、調整プレート504または拡散プレート506の少なくとも一方に形成できる。
[0046]図5に示した実施形態では、第1ボア520が調整プレート504にかけて、また一部分が拡散プレート506に形成されている。第2のボア522およびオリフィス穴524は、拡散プレートに形成される。ボアと穴520、522、524を別個のプレート504、506に製造することで、オリフィス穴524のドリルの長さと深さ(つまりプレート内の位置)が最小化されるに従ってより効率的な製造が可能になり、ドリル先端部破損の発生がさらに減少し、製造コストもさらに減少する。
[0047]ガス通路508の調整プレート504と拡散プレート506に形成された部分の嵌合および整列を確実に行うために、調整プレート504と拡散プレート506の間には複数の設置特徴部546が配置されている。一実施形態では、設置特徴部546は、調整プレート504と拡散プレート506の間に配置された複数の搭載ピン544(その内1本のみを図示)である。図5に示したこの実施形態では、設置ピン544が拡散プレート506から延び、調整プレート504を貫通した孔と圧着する嵌合ブッシング542と係合する。ピン544は、蓋アセンブリ110に対し調整プレート504及び拡散プレート506間の所定配向性とガス通路508の整列が確実に得られるように位置決めされる。調整プレート504と拡散プレート506は、固定具、リベット、ねじ、真鍮メッキ、溶接、接着、クランピング等のようなあらやる方法で互いに固定することができる。
[0048]図6は、調整プレート652と拡散プレート654を通って形成された複数のガス通路660を含む、拡散器分散プレートアセンブリ650の別の実施形態の部分断面図を示しており、ここで、調整プレート652は拡散プレート654に取り外し可能に固定されている。図6に示す実施形態では、調整プレート652と拡散プレート654は、破断性(Breakaway)固定具システム600(図6にはその内1つを図示)によって基準の間隔で結合している。ガス通路660は、上述したガス通路508に類似して構造されている。
[0049]破断性固定具システム600の各々は、固定具602と嵌合ナット604を含んでおり、典型的に、この両方はアルミニウムまたはその他適切な材料で製造されている。固定具材料による処理への影響を最小化するためにアルミニウム固定具の使用が有利である用途では、従来のアルミニウム固定具では加熱によって固くなってしまうために構成部品を取り外しと再度ねじ込みが必要であるところを、破断性固定具システム600を使用することで、調整プレート652と拡散器654が分離させることができる。これにより、ガス通路660の流れ特徴を変化させるように調整プレート652を変更することが可能であるため、アセンブリ全体を変更することなく、分配プレートアセンブリ650を所与の処理に適応させることができる。この特徴は、前述で組み入れたBloniganらにより2003年1月7日に出願された米国特許明細書第10/337,483号に詳細に論じられている。(事件番号第7651号)
[0050]一実施形態では、固定具602は頭部606、シャンク608、ねじ切りした部分610を有する。典型的に、頭部606は、調整プレート652の頂面614に形成したカウンタボア612内に配置される。穴616は、固定具602のシャンク608を受容するように、調整プレート652を貫通して、カウンタボア612と同心的に形成されている。シャンク608は、穴616と同心的に整列し拡散プレート654を貫通して形成された穴618を通過する。典型的に、シャンク608は、固定具602が所定量を超えたトルクに晒されると剪断を実行するネック部分620を含む。
[0051]典型的に、ナット604は、調整プレート652と反対側に位置する拡散プレート654の下流側部624に形成したスロット622内に配置されている。スロット624は、拡散プレート654を貫通して形成した穴618と連通している。シャンク608は穴616、618を通過して、ねじ切り部分610をスロット622内に露出させる。スロット622内に配置されたナット602が固定具602のねじ切り部分610と嵌合する。スロット622は、プレート652、654を相互に対して接近させるように固定具602を緊締する際にナット604が回転してしまうことを防止するように構成される。さらに、拡散プレートアセンブリ650の2枚プレート式構成により、製造中にオリフィス穴694の形成に要する距離を実質的に減じて、製造中におけるドリル破損の発生をさらに低減することで、ガス通路660の経済的な製造がさらに容易になる。
[0052]このようにして、経済的な製造が可能なガス分配プレートアセンブリが得られた。さらに、こうして得たガス分配プレートアセンブリにより、プレートの幅にかけてオリフィス穴の形状を変更することで、および/または、アセンブリの1枚のプレートによって、ガスの流れ特徴を有利に調整することができる。
[0053]本発明の教示を採用したいくつかの好ましい実施形態を示し、詳細に記載したが、当業者は、これらの教示の採用を維持したこれ以外の多くの変更型の実施形態を案出することができる。
本発明のガス分配プレートアセンブリの一実施形態を有する例証的な処理チャンバを示す概略断面図である。 図1に示したガス分配プレートアセンブリの部分断面図である。 ガス分配プレートアセンブリの別の実施形態の部分断面図である。 図2のガス分配プレートアセンブリの部分頂面図である。 拡散器アセンブリを含むガス分配プレートアセンブリの別の実施形態の部分断面図である。 図5のガス分配プレートアセンブリの一実施形態の別の部分断面図を示す。
110…蓋アセンブリ110、116…穿孔エリア、118…ガス分配プレートアセンブリ、158…拡散プレート、160…ハンガープレート、162…ガス通路、164…プレナム、166…アパーチャ、202…上流側部、204…下流側部、244…ドウェルピン、246…スロット、264…第1フランジ、266…取り付け穴、268…固定具、282…縁。

Claims (26)

  1. 処理チャンバ用のガス分配プレートアセンブリであって、
    上流側部と下流側部を有する拡散プレートと、
    拡散プレートの上流側部と下流側部との間を通過する複数の同心ガス通路と、
    を備え、
    前記ガス通路の各々は、
    円筒形状に形成され、前記上流側部から延び、第1直径を有する第1穴であって、その底部が先細り、傾斜、丸く形成、または面取りされている、前記第1穴と、
    フレア型に形成され、前記下流側部から延び、第2直径を有する第2穴であって、その底部が先細り、傾斜、丸く形成、または面取りされている、前記第2穴と、
    前記第2穴と前記第1穴の底部を流体結合し、前記第1穴と前記第2穴よりも小さい直径を有するオリフィスであって、少なくとも一つのオリフィス穴が、少なくとも一つの別のオリフィス穴とは異なる流量制限属性を有する、前記オリフィスと、
    を含む、前記ガス分配プレートアセンブリ。
  2. プラズマを点火するために前記拡散プレートに結合されたRF電源を更に備える、請求項1に記載のガス分配プレートアセンブリ。
  3. 前記上流側部は陽極処理されておらず、前記下流側部は陽極処理されている、請求項1に記載のガス分配プレートアセンブリ。
  4. 前記拡散プレートがさらに、
    前記ガス通路に形成された前記第1穴の少なくとも一部分を有する第1プレートと、
    前記第1プレートと結合し、前記ガス通路に形成された前記第2穴の少なくとも一部分を有する第2プレートと、
    を備える、請求項1に記載のガス分配プレートアセンブリ。
  5. 実質的に多角形のアパーチャを有し、処理チャンバ内で前記拡散プレートを支持するよう適合されたハンガープレートをさらに備える、請求項1に記載のガス分配プレートアセンブリ。
  6. 前記ハンガープレートと拡散プレートの間に延びた複数のピンをさらに備え、前記ピンの内少なくとも1本が、前記ハンガープレートまたは拡散プレートのいずれか一方に形成されたスロットと、熱膨張における差を調節する方法で関連している、請求項5に記載のガス分配プレートアセンブリ。
  7. 拡散プレートが多角形である、請求項1に記載のガス分配プレートアセンブリ。
  8. 前記拡散プレートの周辺における前記オリフィス穴の一部の直径が、前記拡散プレート内の残りの前記オリフィス穴の直径より大きい、請求項1に記載のガス分配プレートアセンブリ。
  9. 前記拡散プレートの周辺における前記オリフィス穴の一部の長さが、前記拡散プレート内の残りの前記オリフィス穴の長さより小さい、請求項1に記載のガス分配プレートアセンブリ。
  10. 処理チャンバ用のガス分配プレートアセンブリであって、
    アルミニウム上流側部と下流側部を有する拡散プレートアセンブリと、
    前記拡散プレートアセンブリの前記上流側部と前記下流側部の間を通過する複数の同心ガス通路と、
    を備え、
    前記ガス通路の各々が、
    前記上流側部から延び第1直径を有する第1穴と、
    前記第1穴の底部と流体結合したオリフィス穴と、
    前記オリフィス穴から下流側部へ延び第2直径を有するフレア型第2穴と、
    を備え、前記オリフィス穴の直径が前記第1穴および前記第2穴よりも小さく、前記拡散プレートを貫通して形成された前記オリフィス穴の少なくとも一つが別のオリフィス穴の少なくとも1つとは異なる流量制限属性を有する、ガス分配プレートアセンブリ。
  11. 前記第1穴の底部が、先細り、傾斜、丸く形成、または面取りされている、請求項10に記載のガス分配プレートアセンブリ。
  12. 第2穴の底部が、先細り、傾斜、丸く形成、または面取りされている、請求項11に記載のガス分配プレートアセンブリ。
  13. 前記下流表面に陽極処理したコーティングが施され、前記上流表面が陽極処理されていないアルミニウムである、請求項10に記載のガス分配プレートアセンブリ。
  14. 前記下流表面と前記上流表面に陽極処理コーティングが施されている、請求項10に記載のガス分配プレートアセンブリ。
  15. 前記拡散プレートアセンブリがさらに、
    前記ガス通路に形成された前記第1穴の少なくとも一部分を有する第1プレートと、
    前記第1プレートと結合し、前記ガス通路に形成された第2穴の少なくとも一部分を有する第2プレートと、
    を備える、請求項10に記載のガス分配プレートアセンブリ。
  16. 実質的に多角形のアパーチャを画成する内方に延びたフランジを有するハンガープレートをさらに備え、前記ハンガープレートのフランジが、前記拡散プレートアセンブリを支持するよう適合されている、請求項10に記載のガス分配プレートアセンブリ。
  17. 前記ハンガープレートと前記拡散プレートの間に延びた複数のピンをさらに備え、これらのピンの少なくとも1本が、前記ハンガープレートまたは前記拡散プレートのいずれか一方に形成されたスロット内に位置決めされている、請求項16に記載のガス分配プレートアセンブリ。
  18. 前記拡散プレートが多角形である、請求項10に記載のガス分配プレートアセンブリ。
  19. 前記拡散プレートの周辺における前記オリフィス穴の一部の直径が、前記拡散プレート内の残りの前記オリフィス穴の直径より大きい、請求項18に記載のガス分配プレートアセンブリ。
  20. 前記拡散プレートの周辺における前記オリフィス穴の一部の長さが、前記拡散プレート内の残りの前記オリフィス穴の長さより小さい、請求項18に記載のガス分配プレートアセンブリ。
  21. 処理チャンバ用のガス分配プレートアセンブリであって、
    上流側部と下流側部を有する拡散プレートと、
    前記拡散プレートを貫通して形成された複数の同心ガス通路であって、各ガス通路は:
    円筒状に形成され、前記上流側部から延び、第1直径を有する第1穴と;
    漏斗状に形成され、前記下流側部から延び、第2直径を有する第2穴と;
    前記第1穴と前記第2穴を結合するオリフィス穴であって、前記オリフィス穴の少なくとも一つが、前記拡散プレートの中心における少なくとも一つのオリフィス穴の流量制限属性とは異なる流量制限属性を前記拡散プレートの周辺で有する、前記オリフィス穴と;
    を備える、ガス分配プレートアセンブリ。
  22. 前記第1穴及び前記第2穴は、前記オリフィス穴の直径より大きい直径を有する、請求項21に記載のガス分配プレートアセンブリ。
  23. 拡散プレートアセンブリがさらに、
    ガス通路に形成された第1穴の少なくとも一部分を有する第1プレートと、
    第1プレートと結合し、ガス通路に形成された第2穴の少なくとも一部分を有する第2プレートと、
    を備える、請求項21に記載のガス分配プレートアセンブリ。
  24. 実質的に多角形のアパーチャを画成する内方に延びたフランジを有するハンガープレートをさらに備え、前記ハンガープレートのフランジが、拡散プレートアセンブリを支持するよう適合されている、請求項21に記載のガス分配プレートアセンブリ。
  25. 前記拡散プレートの周辺における前記オリフィス穴の一部の長さが、前記拡散プレート内の中心における前記オリフィス穴の長さより小さい、請求項21に記載のガス分配プレートアセンブリ。
  26. 前記拡散プレートの周辺における前記オリフィス穴の一部の直径が、前記拡散プレート内の中心における前記オリフィス穴の直径より大きい、請求項21に記載のガス分配プレートアセンブリ。
JP2009272100A 2003-04-16 2009-11-30 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ Expired - Lifetime JP5302865B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/417,592 US6942753B2 (en) 2003-04-16 2003-04-16 Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US10/417,592 2003-04-16

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005518601A Division JP2006515039A (ja) 2003-04-16 2004-04-14 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ

Publications (2)

Publication Number Publication Date
JP2010077537A JP2010077537A (ja) 2010-04-08
JP5302865B2 true JP5302865B2 (ja) 2013-10-02

Family

ID=33158943

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2005518601A Pending JP2006515039A (ja) 2003-04-16 2004-04-14 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ
JP2009272100A Expired - Lifetime JP5302865B2 (ja) 2003-04-16 2009-11-30 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2005518601A Pending JP2006515039A (ja) 2003-04-16 2004-04-14 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ

Country Status (6)

Country Link
US (1) US6942753B2 (ja)
JP (2) JP2006515039A (ja)
KR (1) KR100696021B1 (ja)
CN (2) CN102443783B (ja)
TW (1) TWI276701B (ja)
WO (1) WO2004094693A2 (ja)

Families Citing this family (584)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
DE10392996T5 (de) * 2002-08-08 2005-07-21 Trikon Technologies Limited, Newport Verbesserungen für Duschköpfe
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060185590A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
JP4654738B2 (ja) 2005-04-05 2011-03-23 パナソニック株式会社 プラズマ処理装置
DE602006011140D1 (de) * 2005-04-05 2010-01-28 Krosaki Harima Corp Gas-show-erplatte für eine plasmaverarbeitungsvorrichtung
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP4954734B2 (ja) * 2007-01-30 2012-06-20 東京エレクトロン株式会社 基板処理装置及びガス供給方法
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR101126536B1 (ko) * 2007-10-31 2012-03-22 고쿠리츠다이가쿠호진 도호쿠다이가쿠 플라즈마 처리 시스템 및 플라즈마 처리 방법
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
JP5150217B2 (ja) * 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
US20090194021A1 (en) * 2008-02-01 2009-08-06 Scott Snodgrass Dispenser for a coating apparatus having reduced sag
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
US20100115672A1 (en) 2008-05-13 2010-05-06 Northwestern University Scanning probe epitaxy
JP5520455B2 (ja) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 プラズマ処理装置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102008044024A1 (de) * 2008-11-24 2010-05-27 Robert Bosch Gmbh Beschichtungsverfahren sowie Beschichtungsvorrichtung
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
WO2011009002A2 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
TWI394986B (zh) * 2009-11-09 2013-05-01 Global Material Science Co Ltd 擴散板結構及其製作方法
CN102064082B (zh) * 2009-11-13 2014-11-05 世界中心科技股份有限公司 扩散板结构及其制作方法
TWI485799B (zh) * 2009-12-10 2015-05-21 Orbotech Lt Solar Llc 自動排序之直線型處理裝置
ATE551439T1 (de) * 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP6104157B2 (ja) * 2010-05-21 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積電極にぴったりと嵌合されたセラミックス絶縁体
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
KR101361711B1 (ko) * 2011-12-30 2014-02-12 엘아이지에이디피 주식회사 공정챔버의 지지유닛 및 이를 포함하는 기판처리장치
US20130273239A1 (en) 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
KR101441478B1 (ko) 2012-07-09 2014-09-17 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR101488878B1 (ko) * 2012-12-28 2015-02-04 주식회사 에스에프에이 가스 분배 조립체
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104099583B (zh) * 2013-04-09 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置、反应腔室及等离子体加工设备
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US20150011025A1 (en) * 2013-07-03 2015-01-08 Tsmc Solar Ltd. Enhanced selenium supply in copper indium gallium selenide processes
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TW201517112A (zh) * 2013-10-09 2015-05-01 Applied Materials Inc 具有同軸和方位角對稱和具有一致中央觸發的多區中空陰極放電系統
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
WO2015116245A1 (en) * 2014-01-30 2015-08-06 Applied Materials, Inc. Gas confiner assembly for eliminating shadow frame
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
CN104952760A (zh) * 2014-03-24 2015-09-30 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及半导体加工设备
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6426298B2 (ja) * 2015-10-19 2018-11-21 東芝三菱電機産業システム株式会社 成膜装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
TWI733712B (zh) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 用於沉積腔室的擴散器及用於沉積腔室的電極
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102589743B1 (ko) * 2016-06-10 2023-10-17 주식회사 뉴파워 프라즈마 균일한 가스 분배를 위한 가스 분배 플레이트를 포함하는 플라즈마 챔버
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11357093B2 (en) * 2016-12-23 2022-06-07 Plasmatreat Gmbh Nozzle assembly, device for generating an atmospheric plasma jet, use thereof, method for plasma treatment of a material, in particular of a fabric or film, plasma treated nonwoven fabric and use thereof
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20190304756A1 (en) * 2018-04-03 2019-10-03 Applied Materials, Inc. Semiconductor chamber coatings and processes
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN114127902A (zh) * 2019-07-15 2022-03-01 应用材料公司 用于平板显示器的大面积高密度等离子体处理腔室
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024535234A (ja) * 2021-09-17 2024-09-30 アプライド マテリアルズ インコーポレイテッド ディフューザーの片面陽極酸化処理

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US670A (en) * 1838-04-02 Improved mode of forming raised surfaces for printing on paper, calico
US594186A (en) * 1897-11-23 walter
US17243A (en) * 1857-05-05 Blind-fastening
US465233A (en) * 1891-12-15 Commutator connection for dynamo-electric machines
US370371A (en) * 1887-09-27 Device for bending metal beams or bars
US463601A (en) * 1891-11-17 Brick-kiln
US580505A (en) * 1897-04-13 potter
US129769A (en) * 1872-07-23 Improvement in wagon-beds
US45361A (en) * 1864-12-06 Improvement in magazine or self-loading fire-arms
US553461A (en) * 1896-01-21 Chain geae case for cycles
US594187A (en) * 1897-11-23 Belt-shipper
US579819A (en) * 1897-03-30 Automatic feeding device for cigarette-machines
US679843A (en) * 1901-06-06 1901-08-06 Benjamin Holland Means for holding loose members of machines upon ends of studs or shafts.
US957681A (en) * 1909-10-11 1910-05-10 Andrew Theodore John Keyed finger-board for violins and similar stringed musical instruments.
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
JPS6213573A (ja) * 1985-07-10 1987-01-22 Fujitsu Ltd Cvd装置
US5871811A (en) 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8907007D0 (en) * 1989-03-28 1989-05-10 Tate & Lyle Plc Sucralose compositions
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH04115531A (ja) * 1990-09-05 1992-04-16 Mitsubishi Electric Corp 化学気相成長装置
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
JPH0766138A (ja) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd プラズマcvd装置
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP2726005B2 (ja) * 1994-07-20 1998-03-11 株式会社ジーティシー 成膜装置および成膜方法
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
JPH10195661A (ja) * 1997-01-08 1998-07-28 Ebara Corp 気相成長装置
JPH10226887A (ja) * 1997-02-17 1998-08-25 Ebara Corp ガス噴射ヘッド
JP3710587B2 (ja) * 1997-02-17 2005-10-26 株式会社荏原製作所 ガス噴射ヘッド
JPH10226885A (ja) * 1997-02-17 1998-08-25 Ebara Corp ガス噴射ヘッド
JP3702068B2 (ja) 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
USRE39969E1 (en) * 1997-04-11 2008-01-01 Tokyo Electron Limited Processing system
WO1998053484A1 (en) 1997-05-20 1998-11-26 Tokyo Electron Limited Processing apparatus
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
KR100505310B1 (ko) 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
US6366450B1 (en) * 1999-12-09 2002-04-02 Gateway, Inc. Hideaway integrated docking cradle
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
JP4669137B2 (ja) * 2001-02-16 2011-04-13 東京エレクトロン株式会社 分割可能な電極及びこの電極を用いたプラズマ処理装置
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Also Published As

Publication number Publication date
JP2010077537A (ja) 2010-04-08
CN102443783A (zh) 2012-05-09
WO2004094693A2 (en) 2004-11-04
WO2004094693A3 (en) 2005-02-10
CN1754008B (zh) 2012-01-11
CN102443783B (zh) 2014-04-30
KR100696021B1 (ko) 2007-03-16
JP2006515039A (ja) 2006-05-18
TWI276701B (en) 2007-03-21
US20040206305A1 (en) 2004-10-21
KR20050096111A (ko) 2005-10-05
CN1754008A (zh) 2006-03-29
US6942753B2 (en) 2005-09-13
TW200500492A (en) 2005-01-01

Similar Documents

Publication Publication Date Title
JP5302865B2 (ja) 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ
KR100663799B1 (ko) 가변식 가스 분배 플레이트 조립체 및 가스 분배 방법
KR101444873B1 (ko) 기판처리장치
US6368450B2 (en) Processing apparatus
JP5064217B2 (ja) マスクパネルを備えたシャドーフレーム
US10087524B2 (en) Showerhead support structure for improved gas flow
US20050000442A1 (en) Upper electrode and plasma processing apparatus
US20080283086A1 (en) Substrate processing apparatus and cleaning method therefor
TWI490910B (zh) Cover plate fixture and induction coupling plasma processing device
KR20010113558A (ko) 세라믹 기판 지지체
JP2019208041A (ja) シャワーヘッド支持構造
TWI819137B (zh) 用以減少粒子產生的氣體擴散器組件
KR20090013958A (ko) 가스분배판 고정용 결합부재 및 이를 포함하는박막처리장치
KR102224586B1 (ko) 처리 챔버들을 위한 코팅 재료
US20180340257A1 (en) Diffuser for uniformity improvement in display pecvd applications
TWI752386B (zh) 電漿處理器的安裝結構及相應的電漿處理器
KR101111042B1 (ko) 기판 지지부의 가열 및 냉각 방법
TW202410158A (zh) 具有改良均勻性之電漿噴灑頭
KR20080006980A (ko) 챔버와 배기라인의 온도구배를 개선한 기판처리장치

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121016

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130115

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130312

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130528

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130621

R150 Certificate of patent or registration of utility model

Ref document number: 5302865

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term