CN102586755A - 用于化学气相沉积的装置 - Google Patents

用于化学气相沉积的装置 Download PDF

Info

Publication number
CN102586755A
CN102586755A CN2011102801693A CN201110280169A CN102586755A CN 102586755 A CN102586755 A CN 102586755A CN 2011102801693 A CN2011102801693 A CN 2011102801693A CN 201110280169 A CN201110280169 A CN 201110280169A CN 102586755 A CN102586755 A CN 102586755A
Authority
CN
China
Prior art keywords
shower nozzle
gas
parts
gaseous diffusion
backboard
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011102801693A
Other languages
English (en)
Other versions
CN102586755B (zh
Inventor
许闰成
朴胜一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DMS Co Ltd
Original Assignee
SNT Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SNT Co Ltd filed Critical SNT Co Ltd
Publication of CN102586755A publication Critical patent/CN102586755A/zh
Application granted granted Critical
Publication of CN102586755B publication Critical patent/CN102586755B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了一种用于化学气相沉积的装置。本发明的一个方面提供了一种用于化学气相沉积的装置,包括:被构造成界定反应空间的工艺室;背板,位于反应空间上方且在背板中间具有气体入口;气体扩散部件,设置在气体入口下方且与气体入口分离,通过第一耦合部件耦合到背板并被配置成扩散经由气体入口提供的工艺气体;喷头,设置在背板和气体扩散部件下方且与背板和气体扩散部件分离,喷头的中间部分通过第二耦合部件耦合到气体扩散部分,喷头具有穿孔其中的多个喷洒孔;和基座,设置在喷头下方且与喷头分离,且支撑基板。该气体扩散部件具有垂直穿透气体扩散部件的多个气体引导孔,使得经由气体入口提供的工艺气体扩散向气体扩散部件的下侧。

Description

用于化学气相沉积的装置
相关申请的交互引用
本申请要求2011年1月11日于韩国专利局提交的韩国专利申请No.10-2011-0002779的权益,通过参考将该申请公开内容整体并入本文。
技术领域
本发明涉及用于化学气相沉积的装置。
背景技术
用于在物体上形成薄膜的方法一般分为物理气相沉积(PVD)方法(例如,溅射)和化学气相沉积(CVD)方法,在PVD方法中,以沉积源的物理特性和薄膜材料的物理特性相同的方式形成薄膜,在CVD方法中,以沉积源的物理特性和薄膜材料的物理特性不同的方式使用化学反应形成薄膜。
但是,由于PVD方法成分或厚度的均匀性和台阶覆盖性不如CVD方法,因此通常更多地使用CVD方法。CVD方法包括APCVD(大气压CVD)方法,LPCVD(低压CVD)方法、PECVD(等离子体增强CVD)方法等。
在CVD方法中,由于PECVD方法能够低温沉积和快速形成薄膜,因此目前已经广泛使用PECVD方法。PECVD方法涉及到其中将RF功率施加到注入到反应腔中的反应气体以使得反应气体处于等离子体状态和使得等离子体中的基团沉积在晶片或玻璃基板上的方法。
不管采用了哪种方法,对于薄膜沉积工艺最关键的是均匀沉积薄膜,且由此已经对此提出了大量改进观点。为了均匀沉积薄膜,均匀分布反应气体或等离子体起到非常重要的作用。
PECVD装置是在薄膜工艺中必不可少的设备,且由于所需产量的规模较大,因此PECVD装置的规模正在逐步增大。例如,用于制造平面屏幕显示器件的当前工艺中使用的PECVD装置非常大,其一边尺寸可能超过2米,且由此要求更为精确地构造其具体功能,以获得所需质量的薄膜。
本发明提出了用于改善喷射气体的功能和最小化由气体喷射表面的热膨胀引起的翘曲现象的想法,从而使得用于制造大表面积薄膜的PECVD装置内部薄膜厚度均匀。
图1示出了通用PECVD装置的简要结构,且以下参考图1描述的是使用PECVD装置的工艺。
首先,一旦通过机械臂(未示出)将基板3安全地接收在安装在反应室1内部的基座2的上表面上,用于薄膜工艺的气体经由气体导入管(pipe)7进入位于喷头4上方的缓冲空间5并在其中扩散。通过喷头4的喷洒管嘴(nozzle)4a,将在缓冲空间5中扩散的气体均匀喷洒到基板3上方,且通过经由等离子体电极6提供的RF(射频)功率,将所喷洒的气体转换成等离子体8的状态。将等离子体8状态下的反应气体沉积到基板3上,且通过真空泵(未示出)经由排气管9排放在薄膜沉积工艺完成之后残留的任何反应气体。
但是,如图2中所示,在PECVD装置中的喷头4的问题在于,由于其自身重量和热变形导致中间下垂。由于自高温等离子体和安装在基座2中的加热器(未示出)传送的热量导致的热膨胀引起了热变形,且水平方向上的热膨胀大于垂直(厚度)方向上的热膨胀。
当喷头4的中间下垂且由此翘曲时,喷头4和基座2之间的距离在中间比在外围区域更接近,使得喷洒气体的分布密度不均匀且使得工艺均匀性变差。
发明内容
技术问题
本发明提供了一种用于化学气相沉积的装置,其允许工艺气体平稳流动和最小化喷头的热膨胀变形。
技术方案
本发明的一方面构造了一种用于化学气相沉积的装置,包括:工艺室,所述工艺室被构造成界定反应空间;背板,所述背板被设置在反应空间上方,且在所述背板的中间具有气体入口;气体扩散部件,所述气体扩散部件被设置在气体入口下方且与所述气体入口分离,所述气体扩散部件通过第一耦合部件耦合到背板,和所述气体扩散部件被配置成扩散经由气体入口提供的工艺气体;喷头,所述喷头被设置在背板和气体扩散部件下方且与所述背板和气体扩散部件分离,所述喷头的中间部分通过第二耦合部件耦合到气体扩散部件,且所述喷头中穿孔形成有多个喷洒孔;和基座,所述基座被设置在喷头下方且与所述喷头分离,且所述基座支撑基板。所述气体扩散部件可具有形成于其中的多个气体引导孔,使得经由气体入口提供的工艺气体扩散向气体扩散部件的下侧,所述多个气体引导孔垂直穿透所述气体扩散部件。
所述多个气体引导孔以规则间隔沿着围绕气体扩散部件的中心的圆的圆周设置。
所述气体扩散部件可包括:支撑板;和形成在所述支撑板的上表面上的突出部件。
所述气体引导孔可形成在所述突出部件中。
在所述气体扩散部件中可形成有连接所述气体引导孔的底部的环形扩散通路。
所述扩散通路可具有向着下侧变宽的矩形形状截面。
所述装置还可包括安装在所述气体扩散部件的下表面上的扩散板,以便覆盖所述扩散通路。其中在所述扩散板中可形成有多个扩散孔,所述多个扩散孔的中心偏离所述气体引导孔的中心。
所述扩散孔的数量可大于所述气体引导孔的数量。
所述多个扩散孔可以按照规则间隔沿着围绕气体扩散部件的中心的圆的圆周设置。
在所述气体扩散部件的下表面上可形成有接收沟槽,在所述接收沟槽中接收有所述扩散板。
所述扩散孔的上端截面积可向着扩散孔的上侧增大。
所述扩散孔的下端截面积可向着扩散孔的下侧增大。
所述支撑板的横向侧面可向着所述支撑板的中心倾斜。
所述工艺室可具有六面体形状,所述支撑板可为盘状。所述突出部件可具有直角锥形状,和所述突出部件的横向侧面可分别面对工艺室的拐角。
所述第一耦合部件可设置在自所述突出部件的中心穿过所述突出部件的拐角的线性路径上。
所述工艺室可具有六面体形状,所述支撑板可具有矩形板形状,所述突出部件可具有圆锥形状。所述支撑板的横向侧面可分别面对工艺室的拐角。
所述第一耦合部件可设置在自所述突出部件的中心穿过所述支撑板的拐角的线性路径上。
所述第一耦合部件和第二耦合部件中的至少一个可以是螺丝。
所述装置还可包括夹持部件,所述夹持部件通过第三耦合部件耦合到背板,以便支撑喷头的边缘,并且所述夹持部件设置在喷头的横向侧边上,在所述夹持部件和所述喷头之间具有预定间隔。
所述装置还可包括热阻部件,所述热阻部件插入在所述夹持部件和背板之间,且所述热阻部件的一侧与背板的下表面接触,所述热阻部件的另一侧与喷头的上表面接触。
所述夹持部件可包括用于支撑喷头的下表面的水平部分和用于支撑喷头的横向表面的垂直部分,和所述喷头的边缘的下部中可形成有用于与夹持部件的水平部分啮合的沟槽。
所述热阻部件可以是薄金属板。
所述热阻部件可以由铝或铝合金制成。
在所述喷头的边缘中可形成有椭圆形长孔,和所述装置还可包括第四耦合部件,所述第四耦合部件通过穿透所述夹持部件的水平部分而插入到长孔中。
所述喷头可具有矩形板形状,和所述夹持部件、椭圆形长孔和第四耦合部件可设置在喷头的每个横向侧边上。
所述长孔和耦合部件可成对地设置在所述喷头的每个横向侧边上。
在所述背板的下端部分可形成有截面积大于气体入口的膨胀空穴,且所述气体扩散部件的至少一部分可设置在所述膨胀空穴内部。
在所述基座的内部可安装有加热导线。
所述喷头可由铝或铝合金制成。
有益效果
通过本发明的优选实施例,可最小化喷头的热膨胀变形,从而可以获得良好质量的均匀的大面积薄膜。
附图说明
图1示出了根据常规技术的PECVD装置。
图2示出了根据常规技术的喷头的翘曲现象。
图3是根据本发明实施例的用于化学气相沉积的装置的截面图。
图4是由图3中“A”部分的截面放大图。
图5是由图3中“B”部分的截面放大图。
图6是其中形成有长孔的喷头的顶视图。
图7示出了根据常规技术经由气体入口在真空容器中流动的工艺气体怎样在PECVD装置中扩散。
图8是示出根据本发明实施例的气体扩散部件的透视图。
图9示出了经由气体入口在真空容器中流动的工艺气体怎样在其中应用了图8的气体扩散部件的PECVD装置中扩散。
图10是根据本发明实施例的气体扩散部件的顶视图。
图11是示出根据本发明实施例的去除了扩散板的气体扩散部件的底视图。
图12是根据本发明实施例的气体扩散部件的底视图。
图13示出了根据本发明实施例工艺气体怎样流过气体扩散部件。
图14是沿着线A-A的图10的截面图。
图15是沿着线B-B的图10的截面图。
图16是沿着线C-C的图10的截面图。
图17是示出根据本发明另一实施例的气体扩散部件的透视图。
图18示出了经由气体入口在真空容器中流动的工艺气体怎样在其中应用了图17的气体扩散部件的PECVD装置中扩散。
图19是示出根据本发明再一实施例的气体扩散部件的透视图。
具体实施方式
由于本发明存在各种排列变化和实施例,因此参考附图示出且描述了某些实施例。但是,其不以任何方式将本发明限制为这些实施例,而是应当认为其包括被本发明的观点和范围覆盖的所有排列变化、等价物和替代。通过本发明的描述,当确定描述某些技术会避开本发明的要点时,将省略相关的详细描述。
术语诸如“第一”和“第二”可用于描述各元件,但是上述元件不应限制上述术语。上述术语仅用于区分各元件。
在描述中使用的术语仅意在描述某些实施例,且不以任何方式限制本发明。除非另外明确使用,否则单数形式的表述包括复数含义。本文描述中,诸如“具有”或者“包括”的表达意在指明特性、数目、步骤、操作、元件、其一部分或者其组合,且不应解释为排除存在一个或多个其他特性、数目、步骤、操作、元件、其部分或其组合等可能性。
以下,将参考附图详细描述根据本发明的用于化学气相沉积的装置的某些优选实施例。不管图号如何,对相同或相应元件给予相同参考数字,且将不再重复相同或相应元件的任何冗余描述。
图3是示出根据本发明实施例的用于PECVD的装置的截面图。图4是图3中的“A”部分的截面放大图,和图5是图3中的“B”部分的截面放大图。图3至5中所示的是工艺室100、反应空间150、背板200、气体入口210、第一耦合部件250、气体扩散部件300、喷头400、喷洒孔410、第二耦合部件450、长孔460、基座500、夹持部件600、第三耦合部件650、第四耦合部件670、热阻部件700和基板800。
如图3中所示,根据本实施例的用于CVD的装置包括:界定了反应空间150的工艺室100;背板200,其设置在反应空间150上方且在其中间具有气体入口210;气体扩散部件300,其被设置在气体入口210下方且与气体入口210分离,并被配置成扩散经由气体入口210流入的工艺气体;喷头400,其被设置在背板200和气体扩散部件300下方且与背板200和气体扩散部件300分离,并且具有在其中穿孔的多个喷洒孔410;和基座500,其被设置在喷头400下方并与喷头400分离,并且支撑基板800。
气体扩散部件300通过第一耦合部件250与背板200耦合,和喷头400的中间部分通过第二耦合部件450与气体扩散部件300耦合。换句话说,喷头400的中间部分借助于气体扩散部件300而与背板200耦合。根据具有这种结构的本实施例,可以解决由于热膨胀导致的喷头400中间部分下垂的问题。
更具体地,如图4中所示,气体扩散部件300通过诸如螺丝的第一耦合部件250而与背板200耦合,该第一耦合部件250穿过气体扩散部件300的边缘(brim),同时气体扩散部件300以预定距离与背板200分离。而且,气体扩散部件300通过诸如螺丝的第二耦合部件450而与喷头400耦合,同时气体扩散部件300以预定距离与喷头400分离。此处,第二耦合部件的端部可通过穿透喷头400而插入到气体扩散部件300的中间。
尽管本实施例提出了用于第一耦合部件250和第二耦合部件的螺丝,但是本发明不应限制为本实施例中提出的结构,而是只要可固定气体扩散部件300同时使其与背板200和喷头400分离就可使用任意部件(例如,销(pin))。
工艺室100界定了处于真空状态的反应空间150。该工艺室100主要分成上盖120和室主体110,诸如O型环的密封部件(未示出)插入到上盖120和室主体110之间以密封工艺室100内部的反应空间150不受外部影响。
背板200位于反应空间150的上部,更具体地,位于由上盖120界定的空间内。背板200可由诸如铝的金属制成,用于注入工艺气体的气体入口210设置在背板200的中间。气体入口210可以是穿透背板200的孔或者是插入到孔中的管。可经由气体入口210将自外部气体源(未示出)提供的工艺气体注入到背板200下方。
如图4中所示,用于扩散所提供的工艺气体的气体扩散部件300位于背板200下方、更具体地位于设置在背板200中的气体入口210下方。如之前所述,通过第一耦合部件250固定所述气体扩散部件300,同时将气体扩散部件300与背板200分离。
气体扩散部件300用于在工艺室100内部、更具体地在背板200和喷头400之间的空间200(下文中称作“缓冲空间”)内有效地扩散所注入的工艺气体。为此,重要的是所注入的工艺气体具有层流。稍后将描述气体扩散部件300的特定形状和功能。
如图4中所示,具有大于气体入口210的横截面积的膨胀空穴230可形成在背板200的下端部分,可将气体扩散部件300的一部分或全部设置在膨胀空穴230的内部。此处,膨胀空穴230和气体扩散部件300可具有相似形状。
可通过与背板200和气体扩散部件300分离,将喷头400设置在背板200和气体扩散部件300下方。喷头400是用于扩散所注入的出射气体和将工艺气体均匀喷洒在设置于基座500上方的基板整个表面上,且喷头400可具有与工艺室100截面形状相似的形状。例如,如果工艺室100具有六面体形状且由此具有矩形截面形状,则喷头400可具有矩形板形状。喷头400也可具有喷洒孔410,在由诸如铝的金属制成的喷头的板状主体中均匀穿孔以形成喷洒孔410。此处,喷洒孔410可具有圆锥形状,其截面积向着下部逐步变大。
由于上述结构,首先通过形成在背板200下方的气体扩散部件300扩散所注入的工艺气体,之后,通过喷头400再次扩散该工艺气体,由此可将工艺气体均匀喷洒在被接收于基座500上表面上的基板800的上表面上。
此处,RF功率900与背板200和喷头400连接,且提供用于激励所喷洒的工艺气体以将经由喷头400喷洒的工艺气体转换成等离子体所需的能量。换句话说,背板200和喷头400可用作上电极。
如图5中所示,由于工艺室100、更具体地上盖120用作接地,因此在上盖120与用作上电极的背板和喷头之间插入绝缘体160、170、180,以保持其间的电绝缘。此处,将O型环190设置在绝缘体160的预定位置,以保持反应空间150的真空状态。
在结晶硅太阳能电池的制造工艺中,氮化硅(SiNx)膜主要用作抗反射膜,可通过注入作为工艺气体的SiH4和NH3来执行工艺,以形成这种类型的抗反射膜。
如图5中所示,可通过夹持部件600支撑喷头400的边缘,该夹持部件600由用于支撑喷头400下表面的水平部分610和用于支撑喷头400横向表面的垂直部分620构成。此处,喷头400边缘的下部可形成有用于与夹持部件下部、即水平部分610啮合的沟槽430。
夹持部件600,例如夹持部件600的垂直部分620可通过诸如螺丝的第三耦合部件650而与背板200耦合,夹持部件600的水平部分610可通过与喷头400的下表面啮合而支撑喷头400的下表面。
可在喷头400横向侧边和夹持部件600之间形成预定间隔420。考虑到喷头400的热膨胀,而形成该间隔420。
如图6中所示,椭圆形长孔460形成在喷头400的边缘中,第四耦合部件670可通过穿透夹持部件600的水平部分610而插入到长孔460中。第四耦合部件670是用于通过耦合所述夹持部件600和喷头400来支撑喷头400边缘的装置。应当理解,诸如螺丝、销等各种耦合装置都可用于第四耦合部件670。
如图6中所示,喷头400中形成有长孔460。通过形成这些长孔460,虽然存在喷头400的热膨胀,但是由于存在第四耦合部件670,仍可以防止过多应力作用在喷头400上。这是由于考虑到喷头400的热膨胀,在长孔460中的额外空间可用作保留空间。
如果喷头400为矩形板形状,则夹持部件600、椭圆形长孔460和第四耦合部件670都可设置在喷头400的每个横向侧边上。换句话说,通过在喷头400的每个横向侧边上形成夹持部件600和第四耦合部件670,可更固定地支撑喷头400的边缘。
如图6中所示,长孔460和第四耦合部件670成对地设置在喷头400的每个横向侧边上且由此能更加固定地支撑喷头400。
而且,将热阻部件700插入在夹持部件600和背板200之间。如图5中所示,热阻部件700的一侧与背板200的下表面接触,热阻部件700的另一侧与喷头400的上表面接触,由此热阻部件700可用于阻挡喷头400和背板200之间的热传送。由于热阻部件700的作用,可以降低自喷头400至背板200传送的热量。由诸如铝的材料制成的薄金属板可用于热阻部件700。热阻部件700的厚度可在约1.5mm和3.0mm之间。
通过与喷头400分离,将上面接收有基板800的基座500设置在喷头400下方。加热器510可位于基座500内部,这种情况下,将接收在基座500上方的基板800加热至适合于在薄膜沉积工艺期间进行沉积的温度(例如400℃)。而且,基座500电接地和由此能用作下电极,也可以通过用于装载和卸载基板800的单独的升降装置520升高和降低基座500。
可将排放出口130设置在工艺室100下方,更具体地在基座500下方,使得可在完成沉积反应之后,将残留在工艺室100内部的工艺气体排放到外部。
以下,将更详细地描述之前描述的气体扩散部件300的形状和功能。图7示出了经由气体入口210在工艺室100中、更具体地在缓冲空间220中流动的工艺气体怎样在常规PECVD装置中扩散。此处,箭头表示扩散的工艺气体。
为了在基板800上形成均匀的薄膜,重要的是在整个基板800上均匀提供工艺气体。为此,需要使经由气体入口210提供到喷头400上侧的工艺气体在整个喷头400均匀分布。但是,根据常规技术,如图7中所示,具有六面体形状的工艺室100的拐角区域102远离位于工艺室100中间的气体入口210,这限制了工艺气体在整个工艺室100的均匀分布。
考虑到上述内容,如图8中所示,本实施例提出了一种气体扩散部件300A,其包括盘状的支撑板310A和圆锥状的形成在支撑板310A上表面中间的突出部件320A。此处,将突出部件320A的每个横向侧面322A都设置成面对工艺室100的每个拐角区域102。
在本实施例中,如图9中所示,突出部件320的拐角324A可用作阻止工艺气体流动的阻挡,且由此可以通过允许突出部件320A的横向侧面322A面对工艺室100的拐角区域102,为工艺室100的拐角区域102补偿工艺气体。
此处,如图9中所示,通过将第一耦合部件250设置在自突出部件320A中心经过突出部件320A的拐角324A的线性路径上,该第一耦合部件250用作工艺气体移动的阻挡,从而可以允许工艺气体在工艺室100拐角区域102的方向上更平稳地流动。
如此,通过经由改良气体扩散部件的形状以允许工艺气体在工艺室100相对较远的拐角区域102的方向上更平稳地流动,可为工艺室100的拐角区域102补偿该工艺气体,由此实现缓冲空间220中、甚至在工艺室100中工艺气体改善的整体均匀性。
如图8中所示,支撑板310A的横向侧面可形成为向着支撑板310A的中心倾斜。更具体地,自上向下地在支撑板310A边界上形成倾斜表面,该倾斜表面可具有中凸的弯曲形状(见图13),且由此经由突出部件320A经过支撑板310A的工艺气体可沿着该倾斜表面更平稳地流动。
如图8和10中所示,气体扩散部件300A可形成有垂直穿透所述气体扩散部件300A的多个气体引导孔326A。因此,经由气体入口210提供的工艺气体可经由气体引导孔326A以及气体扩散部件300A的表面扩散,由此工艺气体不仅能沿着气体扩散部件300A的周界扩散,也能向着气体扩散部件300A的下侧有效扩散。
如图10中所示,可以按照规则间隔沿着围绕气体扩散部件300A中心的圆的圆周设置气体引导孔326A。也就是说,可以按照规则间隔在位于气体扩散部件300A中心的假想圆上设置气体引导孔326A。例如,如图10中所示,可存在形成在突出部件320A中的4个气体引导孔326A。
如图11中所示,可以通过形成在气体扩散部件300A下表面上的环形扩散通路316A连接气体引导孔326A的底部。也如图11中所示,气体扩散部件300A的下表面形成有用于接收扩散板330A的接收沟槽318A,如图12中所示,可将其中形成了扩散孔332A的扩散板330A安装在接收沟槽318A中以便覆盖扩散通路316A。
如此,通过在气体引导孔326A底部上形成连接气体引导孔326A的扩散通路316A和将扩散板330A安装在气体扩散部件330A下表面上以便覆盖扩散通路316A,经由气体引导孔326A上部流入的工艺气体不能直接排放向气体扩散部件300A下侧,而是在首先聚集在由扩散通路316A和扩散板330A限定的空间中之后,通过多个扩散孔332A排放向气体扩散部件300A的下侧,从而经由气体引导孔326A流入的工艺气体可以更均匀地排放向气体扩散部件300A的下侧。
这种情况下,如图12中所示,与气体引导孔326A相似,可以按照规则间隔沿着围绕气体扩散部件300A中心的圆的周边设置扩散孔332A,但是如在图10和12中看到的,扩散孔332A可形成为偏离气体引导孔326A的中心,且可以存在比气体引导孔326A更多的扩散孔332A。例如,如图10和12中所示,可存在用于4个气体引导孔326A的8个扩散孔332A。
如此,通过将扩散孔332A设置在与气体引导孔326A不同的平面位置和形成比气体引导孔326A数量更多的扩散孔332A,在扩散通路316A中聚集的工艺气体可经由多个均匀分布的扩散孔332A更加均匀地扩散到气体扩散部件300的下侧。
以下,将参考图13至16描述经由气体扩散部件300A的上表面和气体引导孔326A的工艺气体的流动。
图13示出了工艺气体怎样经由气体扩散部件300A流动的原理。但是图13并非意在示出气体引导孔326A、扩散通路316A和扩散孔332A的特定设置关系,图14至16分别是沿着线A-A、B-B和C-C的图12的各截面图,通过图14至16可理解气体引导孔326A、扩散通路316A和扩散孔332A的特定设置关系。
如图13中所示,经由气体入口210提供的工艺气体经由气体引导孔326A以及气体扩散部件300A上表面流入。如图13和14中所示,经由气体引导孔326A上部流入的工艺气体向着连接气体引导孔326A底部的环形扩散通路316A移动。
如图13和15中所示,如上所述已经到达扩散通路316A的工艺气体最初暂留在被扩散板330A挡住的扩散通路316A,以实现更均匀的扩散。
这种情况下,如图13和15中所示,扩散通路316A可具有向着下侧变宽的矩形形状截面。扩散通路316A是通过例如围绕气体扩散部件300A的中心的梯形截面的旋转和对称而形成的环形元件,由于其截面面积向着底部增加,因此能降低流入的工艺气体流速,因此通过该扩散通路316A可更加均匀地扩散该工艺气体。
之后,如图13和16中所示,可经由扩散孔332A将扩散通路316A内部的工艺气体排放向气体扩散部件300A下侧,该扩散孔332A的数量大于气体引导孔326A,且扩散孔332A形成为偏离气体引导孔326A的中心。
这种情况下,如图13和16中所示,扩散孔322A的上端截面积可向着上侧增大,扩散孔322A的下端截面积可向着下侧增大。通过具有其中扩散孔322A的截面积从上向下逐渐减小又逐渐增大的研钵形状(mortar shape),自扩散通路316A流入的工艺气体可以沿着扩散孔332A内壁稳定流动,因此可最小化在工艺气体内部形成的涡流。
在另一实施例中,如图17中所示,可使用包括矩形板状的支撑板310B和形成在支撑板310B上表面上的锥形突出部件320B的气体扩散部件300B。此处,支撑板310B的每个横向侧面312B都面对工艺室100的每个拐角102。
通过使用上述气体扩散部件300B,如图18中所示,经由气体入口210提供的工艺气体最初沿着锥形突出部件320B的横向侧面在全部四个方向上均匀流下(descend),之后沿着支撑板310B的上表面移动。此处,由于支撑板310B的上表面用作工艺气体移动的阻挡,因此工艺气体在支撑板310B的距中心相对较短(即较少阻挡)的横向侧面312B方向上平稳流动,而在支撑板310B的距中心相对较远(即,较多阻挡)的拐角314B方向上不会平稳流动。
此处,如图18中所示,通过将第一耦合部件250设置在自锥形突出部件320B的中心经过支撑板310B的拐角314B的线性路径上,第一耦合部件250可用作工艺气体移动的阻挡,从而允许工艺气体可以在支撑板310B的横向侧面312B的方向上更加平稳地流动。
在再一实施例中,如图19中所示,可使用包括盘状支撑板310C和形成于支撑板310C上表面上的锥形突出部件320C的气体扩散部件300C。
与之前描述的实施例相似,该气体扩散部件300C中可具有气体引导孔326C和扩散通路,且其中形成了扩散孔的扩散板可安装在气体扩散部件300C下表面上的接收沟槽中。
尽管已经描述了本发明的某些优选实施例,但是应当理解,本发明所属领域技术人员在不脱离本发明的技术理念和范围的情况下可实现本发明的各种改进和排列变化。
应当理解,在本发明的权利要求范围内,除了上述实施例外还存在很多其他实施例。
元件描述
100:工艺室
110:室主体
120:上盖
150:反应空间
200:背板
210:气体入口
220:缓冲空间
230:膨胀空穴
250:第一耦合部件
300A,300B,300C:气体扩散部件
400:喷头
410:喷洒孔
450:第二耦合部件
460:长孔
500:基座
600:夹持部件
610:水平部分
620:垂直部分
650:第三耦合部件
670:第四耦合部件
700:热阻部件
800:基板

Claims (29)

1.一种用于化学气相沉积的装置,包括:
工艺室,所述工艺室被构造成界定反应空间;
背板,所述背板被设置在反应空间上方,且在所述背板的中间具有气体入口;
气体扩散部件,所述气体扩散部件被设置在气体入口下方且与所述气体入口分离,所述气体扩散部件通过第一耦合部件耦合到背板,和所述气体扩散部件被配置成扩散经由气体入口提供的工艺气体;
喷头,所述喷头被设置在背板和气体扩散部件下方且与所述背板和气体扩散部件分离,所述喷头的中间部分通过第二耦合部件耦合到气体扩散部件,且所述喷头中穿孔形成有多个喷洒孔;和
基座,所述基座被设置在喷头下方且与所述喷头分离,且所述基座支撑基板;
其中所述气体扩散部件具有形成于其中的多个气体引导孔,使得经由气体入口提供的工艺气体扩散向气体扩散部件的下侧,所述多个气体引导孔垂直穿透所述气体扩散部件。
2.如权利要求1所述的装置,其中所述多个气体引导孔以规则间隔沿着围绕气体扩散部件的中心的圆的圆周设置。
3.如权利要求1所述的装置,其中所述气体扩散部件包括:
支撑板;和
形成在所述支撑板的上表面上的突出部件。
4.如权利要求3所述的装置,其中所述气体引导孔形成在所述突出部件中。
5.如权利要求1所述的装置,其中在所述气体扩散部件中形成有连接所述气体引导孔的底部的环形扩散通路。
6.如权利要求5所述的装置,其中所述扩散通路具有向着下侧变宽的矩形形状截面。
7.如权利要求6所述的装置,还包括安装在所述气体扩散部件的下表面上的扩散板,以便覆盖所述扩散通路,
其中在所述扩散板中形成有多个扩散孔,所述多个扩散孔的中心偏离所述气体引导孔的中心。
8.如权利要求7所述的装置,其中所述扩散孔的数量大于所述气体引导孔的数量。
9.如权利要求7所述的装置,其中所述多个扩散孔以规则间隔沿着围绕气体扩散部件的中心的圆的圆周设置。
10.如权利要求7所述的装置,其中在所述气体扩散部件的下表面上形成有接收沟槽,在所述接收沟槽中接收有所述扩散板。
11.如权利要求7所述的装置,其中所述扩散孔的上端截面积向着扩散孔的上侧增大。
12.如权利要求7所述的装置,其中所述扩散孔的下端截面积向着扩散孔的下侧增大。
13.如权利要求3所述的装置,其中所述支撑板的横向侧面向着所述支撑板的中心倾斜。
14.如权利要求3所述的装置,其中:
所述工艺室具有六面体形状;
所述支撑板为盘状;
所述突出部件具有直角锥形状;和
所述突出部件的横向侧面分别面对工艺室的拐角。
15.如权利要求14所述的装置,其中所述第一耦合部件设置在自所述突出部件的中心穿过所述突出部件的拐角的线性路径上。
16.如权利要求3所述的装置,其中:
所述工艺室具有六面体形状;
所述支撑板具有矩形板形状;
所述突出部件具有圆锥形状;和
所述支撑板的横向侧面分别面对工艺室的拐角。
17.如权利要求16所述的装置,其中所述第一耦合部件设置在自所述突出部件的中心穿过所述支撑板的拐角的线性路径上。
18.如权利要求1所述的装置,其中所述第一耦合部件和第二耦合部件中的至少一个是螺丝。
19.如权利要求1所述的装置,还包括夹持部件,所述夹持部件通过第三耦合部件耦合到背板,以便支撑喷头的边缘,并且所述夹持部件设置在喷头的横向侧边上,在所述夹持部件和所述喷头之间具有预定间隔。
20.如权利要求19所述的装置,还包括热阻部件,所述热阻部件插入在所述夹持部件和背板之间,且所述热阻部件的一侧与背板的下表面接触,所述热阻部件的另一侧与喷头的上表面接触。
21.如权利要求19所述的装置,其中:
所述夹持部件包括用于支撑喷头的下表面的水平部分和用于支撑喷头的横向表面的垂直部分;和
所述喷头的边缘的下部中形成有用于与夹持部件的水平部分啮合的沟槽。
22.如权利要求21所述的装置,其中所述热阻部件是薄金属板。
23.如权利要求22所述的装置,其中所述热阻部件由铝或铝合金制成。
24.如权利要求21所述的装置,其中在所述喷头的边缘中形成有椭圆形长孔,和
还包括第四耦合部件,所述第四耦合部件通过穿透所述夹持部件的水平部分而插入到长孔中。
25.如权利要求24所述的装置,其中
所述喷头具有矩形板形状;和
所述夹持部件、椭圆形长孔和第四耦合部件被设置在喷头的每个横向侧边上。
26.如权利要求25所述的装置,其中所述长孔和耦合部件被成对地设置在所述喷头的每个横向侧边上。
27.如权利要求1所述的装置,其中在所述背板的下端部分形成有截面积大于气体入口的膨胀空穴,且所述气体扩散部件的至少一部分被设置在所述膨胀空穴内部。
28.如权利要求1所述的装置,其中在所述基座的内部安装有加热导线。
29.如权利要求1所述的装置,其中所述喷头由铝或铝合金制成。
CN201110280169.3A 2011-01-11 2011-09-21 用于化学气相沉积的装置 Expired - Fee Related CN102586755B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020110002779A KR101306315B1 (ko) 2011-01-11 2011-01-11 화학기상증착 장치
KR10-2011-0002779 2011-01-11

Publications (2)

Publication Number Publication Date
CN102586755A true CN102586755A (zh) 2012-07-18
CN102586755B CN102586755B (zh) 2015-03-04

Family

ID=46454266

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110280169.3A Expired - Fee Related CN102586755B (zh) 2011-01-11 2011-09-21 用于化学气相沉积的装置

Country Status (4)

Country Link
US (1) US8980006B2 (zh)
KR (1) KR101306315B1 (zh)
CN (1) CN102586755B (zh)
TW (1) TWI514445B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103774115A (zh) * 2012-10-17 2014-05-07 理想能源设备(上海)有限公司 化学气相沉积装置
USD756502S1 (en) 2013-07-23 2016-05-17 Applied Materials, Inc. Gas diffuser assembly
CN108070846A (zh) * 2016-11-15 2018-05-25 Asm知识产权私人控股有限公司 气体供应单元及包括气体供应单元的基板处理装置
CN108195607A (zh) * 2018-02-02 2018-06-22 中国科学院西安光学精密机械研究所 一种火星表面环境模拟试验装置及方法
CN111394714A (zh) * 2020-04-21 2020-07-10 重庆臻宝实业有限公司 化学沉积气体布散结构及其装置
CN116791065A (zh) * 2023-07-03 2023-09-22 拓荆科技(上海)有限公司 一种扩散构件和半导体工艺设备

Families Citing this family (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9410248B2 (en) * 2010-03-29 2016-08-09 Koolerheadz Modular gas injection device
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US20140030056A1 (en) * 2012-07-25 2014-01-30 Applied Materials, Inc. Process gas flow guides for large area plasma enhanced chemical vapor deposition systems and methods
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160194753A1 (en) * 2012-12-27 2016-07-07 Showa Denko K.K. SiC-FILM FORMATION DEVICE AND METHOD FOR PRODUCING SiC FILM
KR101979006B1 (ko) * 2012-12-28 2019-05-16 엘지디스플레이 주식회사 박막처리장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
CN104342751B (zh) * 2013-08-02 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔和mocvd设备
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104911565B (zh) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 一种化学气相沉积装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102328916B1 (ko) * 2014-08-18 2021-11-18 엘지디스플레이 주식회사 기판 처리 장치
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR101990542B1 (ko) * 2017-06-02 2019-06-18 주식회사 트리셀 기판 지지부재용 볼 부싱
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020242817A1 (en) * 2019-05-30 2020-12-03 Applied Materials, Inc. Atomic layer deposition reactor design for uniform flow distribution
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110512184B (zh) * 2019-09-29 2021-10-22 京东方科技集团股份有限公司 基板夹持装置及蒸镀设备
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023183009A1 (en) * 2022-03-25 2023-09-28 Lam Research Corporation Showerhead assembly and substrate processing systems for improving deposition thickness uniformity
KR102495928B1 (ko) * 2022-08-17 2023-02-06 배두환 반도체 박막증착장치용 샤워헤드

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1669796A (zh) * 2004-02-23 2005-09-21 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
CN101921997A (zh) * 2004-09-20 2010-12-22 应用材料股份有限公司 扩散器重力支撑件

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1669796A (zh) * 2004-02-23 2005-09-21 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
CN101921997A (zh) * 2004-09-20 2010-12-22 应用材料股份有限公司 扩散器重力支撑件

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103774115A (zh) * 2012-10-17 2014-05-07 理想能源设备(上海)有限公司 化学气相沉积装置
USD756502S1 (en) 2013-07-23 2016-05-17 Applied Materials, Inc. Gas diffuser assembly
CN108070846A (zh) * 2016-11-15 2018-05-25 Asm知识产权私人控股有限公司 气体供应单元及包括气体供应单元的基板处理装置
CN108070846B (zh) * 2016-11-15 2019-12-31 Asm知识产权私人控股有限公司 气体供应单元及包括气体供应单元的基板处理装置
CN108195607A (zh) * 2018-02-02 2018-06-22 中国科学院西安光学精密机械研究所 一种火星表面环境模拟试验装置及方法
CN111394714A (zh) * 2020-04-21 2020-07-10 重庆臻宝实业有限公司 化学沉积气体布散结构及其装置
CN116791065A (zh) * 2023-07-03 2023-09-22 拓荆科技(上海)有限公司 一种扩散构件和半导体工艺设备
CN116791065B (zh) * 2023-07-03 2024-06-14 拓荆科技(上海)有限公司 一种扩散构件和半导体工艺设备

Also Published As

Publication number Publication date
KR20120081437A (ko) 2012-07-19
TW201230153A (en) 2012-07-16
CN102586755B (zh) 2015-03-04
US20120174866A1 (en) 2012-07-12
KR101306315B1 (ko) 2013-09-09
US8980006B2 (en) 2015-03-17
TWI514445B (zh) 2015-12-21

Similar Documents

Publication Publication Date Title
CN102586755A (zh) 用于化学气相沉积的装置
CN102373440B (zh) 化学气相沉积装置
US8702867B2 (en) Gas distribution plate and substrate treating apparatus including the same
KR102417931B1 (ko) 기판 지지 장치 및 이를 포함하는 기판 처리 장치
TWI525212B (zh) 氣體分配板及包含此氣體分配板之基板處理設備
US10190214B2 (en) Deposition apparatus and deposition system having the same
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
KR20180054366A (ko) 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US6963043B2 (en) Asymmetrical focus ring
US11104991B2 (en) Processing apparatus and cover member
US9427762B2 (en) Gas injector and cover plate assembly for semiconductor equipment
US9783889B2 (en) Apparatus for variable substrate temperature control
US20170114462A1 (en) High productivity pecvd tool for wafer processing of semiconductor manufacturing
CN102234791B (zh) 气体分布喷洒模块与镀膜设备
US20130004681A1 (en) Mini blocker plate with standoff spacers
CN101748378B (zh) 成膜载板及太阳能电池的生产方法
KR101046910B1 (ko) 진공처리장치
US20180340257A1 (en) Diffuser for uniformity improvement in display pecvd applications
CN102080218B (zh) 气体分布板及具备气体分布板的处理室
KR20120016955A (ko) 플라즈마를 이용한 기판 처리 장치
TWI673815B (zh) 基板處理系統、基板傳送裝置和傳送方法
JP4861391B2 (ja) 基板処理装置および半導体装置の製造方法
JP2005209668A (ja) 基板処理装置
KR20020044735A (ko) 박막증착용 반응용기
US20230374662A1 (en) Substrate processing device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: DISPLAY PRODUCTION SERVICE CO., LTD.

Free format text: FORMER OWNER: SNT CO., LTD.

Effective date: 20130205

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20130205

Address after: Gyeonggi Do, South Korea

Applicant after: Display Production Service Co., Ltd.

Address before: Gyeonggi Do, South Korea

Applicant before: Snt Co., Ltd.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150304

Termination date: 20190921

CF01 Termination of patent right due to non-payment of annual fee