TW201709322A - 混成陶瓷噴淋頭 - Google Patents

混成陶瓷噴淋頭 Download PDF

Info

Publication number
TW201709322A
TW201709322A TW105137645A TW105137645A TW201709322A TW 201709322 A TW201709322 A TW 201709322A TW 105137645 A TW105137645 A TW 105137645A TW 105137645 A TW105137645 A TW 105137645A TW 201709322 A TW201709322 A TW 201709322A
Authority
TW
Taiwan
Prior art keywords
ceramic disk
ceramic
gas distribution
electrode
gas distributor
Prior art date
Application number
TW105137645A
Other languages
English (en)
Other versions
TWI616949B (zh
Inventor
摩哈德 沙比
拉其尚 雷歐 琳嘉波莉
卡爾F 理斯爾
Original Assignee
諾菲勒斯系統公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾菲勒斯系統公司 filed Critical 諾菲勒斯系統公司
Publication of TW201709322A publication Critical patent/TW201709322A/zh
Application granted granted Critical
Publication of TWI616949B publication Critical patent/TWI616949B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning In General (AREA)

Abstract

本發明提供用於基板處理噴淋頭之混成陶瓷花盤之各種實施方案。該等混成陶瓷噴淋頭花盤可包括嵌入於該花盤之陶瓷材料內之一電極以及一通孔圖案。該電極可係相對於該等通孔完全囊封於該陶瓷材料內。在某些實施方案中,一加熱器元件亦可嵌入於該混成陶瓷噴淋頭花盤內。在使用期間,一DC電壓源可與該混成陶瓷噴淋頭花盤電連接。該等混成陶瓷花盤可容易地自該等基板處理噴淋頭拆卸以便易於清潔及花盤更換。

Description

混成陶瓷噴淋頭
本發明係關於一種噴淋頭,且更特定而言係關於一種用於基板處理之混成陶瓷噴淋頭。
本申請案根據35 U.S.C.§ 119(e)主張2011年3月4日提出申請之美國臨時專利申請案第61/449,537號及2012年3月2日提出申請之美國專利申請案第13/411,369號之權利,該等申請案以引用方式併入本文中。
噴淋頭總成通常用於半導體製作模組中用於在沈積、蝕刻或其他製程期間跨越一晶圓或基板之表面分佈製程氣體。
噴淋頭因磨損而必須經常更換,而定期更換噴淋頭對一半導體製造商而言在更換部分費用及設備停機時間方面兩者皆可係一巨大成本。
某些半導體製作方法減小習用噴淋頭之壽命,從而導致需要更頻繁地更換。
本發明揭示一種混成陶瓷噴淋頭,其包括一嵌入式電極。在下文且貫穿本申請案闡述此一噴淋頭之各種實施方案。應理解,不應將下文所論述之實施方案視為將本發明限制為僅所展示之該等實施方案。相符,與本文中所概述之原理及概念相符之其他實施方案亦可歸屬於 本發明之範疇內。
在某些實施方案中,提供一種氣體分佈裝置。該氣體分佈裝置可包括用於一基板處理噴淋頭之一陶瓷花盤。該陶瓷花盤可包括一第一圖案之第一通孔,該第一圖案之第一通孔經組態以當將該陶瓷花盤安裝於該基板處理噴淋頭中且將該基板處理噴淋頭安裝於一基板處理裝置中時跨越一基板分佈半導體製程氣體。該陶瓷花盤亦可包括包括一第二圖案之第二通孔之一電極。該電極可嵌入於該陶瓷花盤內,該第二圖案可匹配該第一圖案,且每一第二通孔之大小可大於該對應第一通孔。在某些另外實施方案中,該陶瓷花盤可經組態以在不需要自一基板處理裝置拆卸該基板處理噴淋頭之情形下可自該基板處理噴淋頭拆卸。
在該氣體分佈裝置之某些另外實施方案中,每一第二通孔可具有一直徑,該直徑係該對應第一通孔之直徑加上0.04"與該對應第一通孔之該直徑中之兩倍之至少較大者。在該氣體分佈裝置之某些另外實施方案中,該等第一通孔可具有介於0.02"至0.06"之直徑。在該氣體分佈裝置之某些另外實施方案中,該等第一通孔可具有大約0.05"之直徑。
在該氣體分佈裝置之某些另外實施方案中,該電極可以當將該氣體分佈裝置安裝於該基板處理噴淋頭中時距背對該基板處理噴淋頭的該陶瓷花盤之一面大約0.05"之一深度嵌入於該陶瓷花盤內。在該氣體分佈裝置之某些另外實施方案中,該電極可大約0.002"厚。
在該氣體分佈裝置之某些另外實施方案中,除位於當將該氣體分佈裝置安裝於該基板處理噴淋頭中時面向該基板處理噴淋頭的導電板之一側上之一或多個電接觸貼片之外,該電極完全可由陶瓷材料包住。在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可包括一或多個導電路徑。該一或多個導電路徑可與該一或多個電接觸貼 片導電接觸;且該等導電路徑中之至少一部分可經曝露以提供與該基板處理噴淋頭之一電極電力或接地源介接之一導電接觸介面。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可包括可電連接至該導電接觸介面之一DC電壓源。在該氣體分佈裝置之某些另外實施方案中,該DC電壓源可經組態以供應介於0伏與200伏之間的一或多個DC電壓。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可包括一接觸環及一或多個支座。該接觸環及該一或多個支座可導電,該一或多個支座中之每一者可與該電極之該一或多個電接觸貼片中之一不同接觸貼片導電接觸;且每一支座可經由一導電路徑與該接觸環電連接。另外,該陶瓷花盤可包括一或多個盲支座孔,該等盲支座孔各自包括當將該陶瓷花盤安裝於該基板處理噴淋頭中時背對該基板之一開口端。每一盲支座孔可藉由該電極端接,且每一盲支座孔可經組態以接納該一或多個支座之一對應支座。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置亦可包括一背板。該背板可經組態以與該接觸環且與該基板處理噴淋頭之一氣體分佈桿或桿套筒機械介接。該背板可形成自該接觸環至該氣體分佈桿或桿套筒之一導電路徑。
在該氣體分佈裝置之某些另外實施方案中,該陶瓷花盤可包括一機械介面,該機械介面位於該陶瓷花盤之中心附近且經組態以與該基板處理噴淋頭之一氣體分佈桿之一互補機械介面配合。當該陶瓷花盤安裝於該基板處理噴淋頭中時,該機械介面與該互補機械介面可配合在一起且該氣體分佈桿經由該等所配合之機械介面及互補機械介面可支撐該陶瓷花盤之該中心。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可包括該氣體分佈桿及一氣體分佈桿套筒。該氣體分佈桿可經由一滑動介 面與該氣體分佈桿套筒配合,且該滑動介面可包括一彈簧,該彈簧約束該氣體分佈桿相對於該氣體分佈桿套筒之滑動移動。該氣體分佈桿套筒及該陶瓷花盤可相對於彼此且相對於沿該滑動介面之行進方向之移動在空間上實質固定,且提供至該陶瓷花盤之該中心之支撐量可係藉由該彈簧之位移來管控。
在該氣體分佈裝置之某些另外實施方案中,該接觸環可包括經組態以將該接觸環與該基板處理噴淋頭剛性地連接之介面特徵,且該等支座可相對於該陶瓷花盤支撐該接觸環且反之亦然。。
在該氣體分佈裝置之某些另外實施方案中,該等介面特徵可選自由如下組成之群組:圍繞該接觸環之一圓周形成之一帶螺紋介面、圍繞該接觸環之該圓周形成之一卡口座及圍繞該接觸環之該圓周間隔開之帶螺紋緊固件特徵之一圖案。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可包括一RF套圈。該RF套圈可由一導電材料製成且可包括具有一直徑之一薄壁箍環,該直徑大於該陶瓷花盤且小於該接觸環之一內直徑。該RF套圈亦可包括複數個內部套圈片,每一內部套圈片自該薄壁箍環朝向該陶瓷花盤突出,與該陶瓷花盤重疊,且實質上平行於垂直於該薄壁箍環之一中心軸線之一平面。該RF套圈亦可包括複數個外部套圈片,每一外部套圈片自該薄壁箍環遠離該陶瓷花盤突出,與該接觸環重疊,且實質上平行於垂直於該薄壁箍環之該中心軸線之該平面。
在該氣體分佈裝置之某些另外實施方案中,該薄壁箍環可由經配置首尾相連以形成一總體箍環形狀之一或多個段形成。在該氣體分佈裝置之某些另外實施方案中,每一外部套圈片可定位於圍繞該RF套圈之圓周之相鄰內部套圈片對之間的大約中間處。在該氣體分佈裝置之某些另外實施方案中,每一內部套圈片可定位於圍繞該RF套圈之圓周之相鄰外部套圈片對之間的大約中間處。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可包括至少一個加熱器元件。該至少一個加熱器元件可嵌入於該陶瓷花盤內,不與該電極電接觸,沿循不與該等第一通孔中之任一者相交之一路徑,且維持距每一第一通孔達0.04"及該第一通孔之該直徑中之至少較大者之一最小距離。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可包括嵌入於該氣體分佈裝置之一陶瓷部分內之一加熱器元件。此實施方案之該加熱器元件可實質上環繞該第一圖案之第一通孔且可定位於最緊密靠近該基板處理噴淋頭之一最外標稱直徑處。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可包括一陶瓷背板。該陶瓷花盤與該陶瓷背板可藉由具有與該陶瓷花盤及該陶瓷背板之外直徑實質相同之一外直徑之一環形陶瓷壁結合以形成一單式花盤/背板。在該單式花盤/背板內可存在一噴淋頭充氣體積,且該等第一通孔可與該噴淋頭充氣體積流體接觸。該陶瓷背板可包括至少一個機械介面特徵,該至少一個機械介面特徵實質上圍繞一第一直徑定位,該第一直徑實質上小於該單式花盤/背板之一外部直徑,且該至少一個機械介面特徵經組態以將該單式花盤/背板剛性地連接至該基板處理噴淋頭之一桿。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可包括一RF襯墊及一密封件。該至少一個機械介面特徵可包括圍繞該陶瓷背板中之一實質上圓形埠定位之一帶螺紋或卡口座。該密封件可位於該實質上圓形埠之一最內直徑與該至少一個機械介面特徵之一最外部直徑之間,且該RF襯墊可位於該至少一個機械介面特徵之一最外部直徑與該密封件之間。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可進一步包括複數個螺栓及一密封件。該至少一個機械介面特徵可包括帶 螺紋孔特徵之一孔圖案,每一孔特徵經組態以接納該等螺栓中之一者。該等帶螺紋孔特徵可圍繞該陶瓷背板中之一實質上圓形埠定位,且該密封件可定位於該孔圖案與該實質上圓形埠之一最部直徑之間。在此實施方案之某些另外實施方案中,該氣體分佈裝置可包括一RF接針。該RF接針可與該電極導電接觸,自該陶瓷花盤突出且進入至該噴淋頭充氣體積中,且具有足夠長度以當該單式花盤/背板經由該至少一個機械介面特徵連接至該桿時以一導電方式接觸該桿。
在該氣體分佈裝置之某些另外實施方案中,該氣體分佈裝置可進一步包括一折流板。該折流板可位於該噴淋頭充氣體積內,與該陶瓷背板間隔開且相對於該陶瓷背板實質上居中,且具有大於該桿之一內部直徑之一最外部直徑。
在某些實施方案中,提供一種基板處理裝置。該基板處理裝置可包括一製程室、上文及貫穿本文件所闡述之一氣體分佈裝置及一基座。該氣體分佈裝置與該基座可實質位於該製程室內。
在該基板處理裝置之某些另外實施方案中,該氣體分佈裝置之該電極可與一DC電壓源電連接且可電連接至一接地阻抗,且該基座中之一基座電極可與一RF電源電連接。
在該基板處理裝置之某些另外實施方案中,該基板處理裝置可包括具有一第一端及一第二端之一氣體分佈桿。該第一端可與該氣體分佈桿上之該第二端對置且與該製程室之一頂部連接。該氣體分佈桿之該第二端可連接至該氣體分佈裝置。可在不自該製程室之該頂部拆卸該氣體分佈桿之情形下可自該氣體分佈桿拆卸該氣體分佈裝置。
在以下隨附圖式及說明中陳述此說明書中所闡述之標的物之一或多個實施方案之細節。其他特徵、態樣、及優點將自該說明、圖式及申請專利範圍變得顯而易見。
100‧‧‧反應器
105‧‧‧晶圓
110‧‧‧基座
115‧‧‧噴淋頭
200‧‧‧混成陶瓷噴淋頭
201‧‧‧彈簧
202‧‧‧背板
204‧‧‧背壁
206‧‧‧圓柱壁
208‧‧‧銲縫
210‧‧‧陶瓷花盤總成
212‧‧‧氣體分佈桿
214‧‧‧陶瓷花盤
216‧‧‧嵌入式接地/電源平面
218‧‧‧接觸環
220‧‧‧桿套筒
222‧‧‧氣體分佈孔
224‧‧‧多個孔特徵
230‧‧‧外部表面
232‧‧‧接觸貼片
236‧‧‧端
244‧‧‧支座支柱/支座
246‧‧‧支座盲孔
250‧‧‧孔
410‧‧‧陶瓷花盤總成
414‧‧‧陶瓷花盤
416‧‧‧接地/電源平面
418‧‧‧接觸環
422‧‧‧氣體分佈孔
444‧‧‧支座
446‧‧‧支座通孔
452‧‧‧接地/電源平面凹座
454‧‧‧加熱器凹座
456‧‧‧加熱器元件
458‧‧‧區
500‧‧‧混成陶瓷噴淋頭
502‧‧‧背板
504‧‧‧背板螺栓
510‧‧‧陶瓷花盤總成
512‧‧‧氣體分佈桿
514‧‧‧陶瓷花盤
516‧‧‧嵌入接地/電源平面
518‧‧‧接觸環
520‧‧‧射頻套圈
522‧‧‧氣體分佈孔
524‧‧‧花盤螺栓
526‧‧‧外部套圈片
530‧‧‧內部套圈片
532‧‧‧環形凹槽
536‧‧‧入口
538‧‧‧標記特徵
540‧‧‧導電支座
542‧‧‧防松墊圈
544‧‧‧墊圈
600‧‧‧混成陶瓷噴淋頭
612‧‧‧氣體分佈桿
616‧‧‧嵌入式接地/電源平面
622‧‧‧氣體分佈孔
634‧‧‧折流板
646‧‧‧射頻襯墊
648‧‧‧o形環密封件
652‧‧‧導電路徑
654‧‧‧導電導通體
656‧‧‧電阻加熱器元件
658‧‧‧元花盤/背板
700‧‧‧混成陶瓷噴淋頭
712‧‧‧桿
716‧‧‧嵌入式接地/電源平面
722‧‧‧氣體分佈孔
734‧‧‧折流板
748‧‧‧o形環密封件
760‧‧‧嵌入式加熱器元件
762‧‧‧RF柱或接針
764‧‧‧螺桿
800‧‧‧半導體處理裝置
810‧‧‧室
820‧‧‧介面
830‧‧‧噴淋頭
840‧‧‧基座
850‧‧‧電漿
860‧‧‧嵌入式電極
870‧‧‧嵌入式電極
880‧‧‧晶圓
885‧‧‧射頻源
890‧‧‧接地阻抗
圖1繪示一半導體製程室之一高層級剖面圖。
圖2A繪示一混成陶瓷噴淋頭之一等角剖面圖。
圖2B繪示具有一插入詳細視圖之一混成陶瓷噴淋頭之一等角剖面圖。
圖2B'繪示圖2B之插入詳細視圖。
圖2C繪示一混成陶瓷噴淋頭之一側視剖面圖。
圖2D繪示一混成陶瓷噴淋頭之一等角視圖。
圖2E繪示圖2D中所展示之混成陶瓷噴淋頭,但使用一分解圖。
圖2F繪示具有一插入詳細視圖之一陶瓷花盤及接地/電源平面之一剖面圖。
圖2F'繪示圖2F之插入詳細視圖。
圖3A及圖3A'繪示一背板之兩個等角視圖。
圖3B及圖3B'繪示附接有一桿套筒之一背板之兩個等角視圖。
圖3C及圖3C'繪示一陶瓷花盤總成之兩個等角視圖。
圖3D及圖3D'繪示附接有一氣體分佈桿之一陶瓷花盤總成之兩個等角視圖。
圖4A至圖4J繪示可用於形成本文中所闡述之組件之一製造製程之各個階段。
圖5A繪示一混成陶瓷噴淋頭之另一實施方案之一等角視圖。
圖5B繪示圖5A中所展示之實施方案之一示意性分解圖。
圖5C繪示圖5A中所展示之實施方案之一示意性剖面圖。
圖5D及圖5E分別繪示圖5A中所展示之實施方案之一陶瓷花盤總成之仰視圖及俯視圖。
圖5F繪示圖5D及圖5E之陶瓷花盤總成之一等角視圖。
圖5G繪示圖5F之陶瓷花盤總成之一等角分解圖。
圖6繪示一混成陶瓷噴淋頭設計之另一實施方案之一概念性剖面 圖。
圖7繪示一混成陶瓷噴淋頭設計之另一實施方案之一概念性剖面圖。
圖8A至圖8C繪示半導體處理室之高層級圖示。
圖8D展示概述自圖8A至圖8C之不同電壓條件之一表格。
圖2A至圖3D'及圖5A至圖5G係按比例圖式,雖然各圖所用精確比例可能不同。
此等圖式意欲傳達實施本文中所揭示之技術及裝置之數個不同方式,且不應解釋為將所揭示之材料限制為僅所展示之彼等實施方案。
現在將詳細地參考本發明之特定實施方案。在隨附圖式中圖解說明該等特定實施方案之實例。雖然將結合此等特定實施例來闡述本發明,但應理解,並非意欲將本發明限制於此等特定實施方案。相反,此意欲涵蓋可包括於如隨附申請專利範圍所界定之本發明精神及範疇內之替代、修改及等效形式。在以下說明中,陳述大量特定細節以提供對本發明之一徹底理解。可在不具有此等特定細節中之某些或所有之情形下來實踐本發明。在其他例項中,未詳細地闡述習知製程操作以便不使本發明不必要地模糊。
在半導體處理中,將硬遮罩用作蝕刻停止層。可灰化硬遮罩(AHM)具有在其已發揮作用之後允許藉由稱作灰化之一技術將其移除之一化學成分。一可灰化硬遮罩通常由具有微量之一或多種摻雜劑(例如,氮、氟、硼、矽)之碳及氫組成。端視沈積條件,此等硬遮罩中之接合結構可自sp2(類石墨)變化為sp3(類鑽)或兩者之一組合。
在一典型應用中,在蝕刻之後,硬遮罩已發揮其用途且必須自下覆介電氧化物(例如,SiO2)移除。此通常至少部分地藉由亦稱作「電漿灰化」或「乾式剝離」之灰化來實現。將具有欲予以灰化之硬遮罩 之基板(通常為經部分地製作之半導體晶圓)放置於真空下之一室中,引入一氧且使其經受射頻電力,此形成氧自由基(電漿)。該等自由基與硬遮罩反應以將其氧化成水、一氧化碳及二氧化碳。在某些例項中,舉例而言,當可灰化硬遮罩留下無法藉由單獨灰化來移除之任何殘渣時,可藉由在灰化之後的額外濕式蝕刻或乾式蝕刻製程來實現硬遮罩之完全移除。
AHM製程經常涉及在正處理之晶圓附近產生高溫,亦即500℃至650℃。通常用於半導體製作工具中之鋁合金(諸如,6000系鋁合金)之熔點經常在645℃至660℃之範圍中且因此可不適合用於曝露於此等AHM處理溫度之組件中。
在美國專利申請案第11/318,269號、美國專利申請案第12/048,967號、美國專利申請案第11/449,983號、美國專利申請案第11/612,382號、美國專利申請案第11/710,377號、美國專利申請案第11/849,208號、美國專利申請案第12/163,670號、美國專利申請案第12/334,220號、美國專利申請案第12/133,223號及美國專利申請案第12/786,842號中更詳細地闡述AHM製程,且所有該等美國專利申請案皆以引用方式併入。
根據本申請案中所論述之實施方案組態之噴淋頭在諸如AHM製程等高溫半導體製作製程中提供增強之效能及更容易之清潔及維護。
AHM以及其他半導體製作製程通常在一反應器(亦通常稱作一製程室或反應器室)內執行。該反應器可在晶圓處理期間提供一受控環境,且包括可在晶圓處理期間所用之各種機械系統及電系統。在圖1中展示一反應器之一個實施方案之一高層級概觀。一反應器100可將一晶圓105接納於一基座110上,基座110可包括一卡盤用於防止在處理操作期間晶圓105移動或不對準。可藉由一致動器使基座110抬高或降低,以促進裝載或自基座110卸載晶圓105或在晶圓105與噴淋頭115 之間建立最佳間隔。製程氣體可藉由噴淋頭115跨越晶圓105之表面分佈,藉由一氣體入口120予以饋送。可藉由使用一真空幫浦或真空源來抽空反應器100內之氣體。
圖1中所展示之反應器僅係可用於半導體製作製程中之一反應器之一個實施方案。可根據需要添加額外組件,且某些組件可並非在所有情形中皆為必須。另外,所展示之該等結構在各反應器設計之間可顯著不同,例如,噴淋頭115展示為「枝狀吊燈」型噴淋頭,但可替代地係一「嵌平裝配」噴淋頭。本發明不應解釋為限制為僅所繪示及所論述之實施方案,而係通常適用於所有類型之半導體製作製程及工具。
半導體製作經常需要製程氣體(諸如沈積氣體及蝕刻氣體)以一均勻或受控方式在正經歷處理之一半導體晶圓或基板上方流動。為此,可使用有時亦可稱作一氣體分佈器之一「噴淋頭」氣體流歧管來跨越一晶圓之表面分佈製程氣體。製程氣體可自噴淋頭流出且跨越一晶圓分佈;該晶圓可由容納該噴淋頭之一製程室內之一基座總成支撐。可藉由將該氣體流自該噴淋頭內部引導至該晶圓之一氣體分佈孔圖案來實現製程氣體跨越晶圓之分佈。
諸多噴淋頭係有限使用壽命組件(LLC)且由於由逐漸更改一噴淋頭之幾何形狀及效能之各種製程步驟(例如,沈積操作或蝕刻操作)引起的噴淋頭之降級而可能需要定期更換(有限使用壽命組件調換或LLCE)。在LLCE之前一噴淋頭可處理之晶圓之數目可不同,通常(舉例而言)介於65,000個晶圓至100,000個晶圓之範圍中,雖然某些噴淋頭可用於在一LLCE之前處理多達600,000個晶圓。一噴淋頭亦可在晶圓處理操作之間經歷週期性清潔循環,此等循環可發生於(舉例而言)每25個至100個晶圓處理循環時。一噴淋頭之使用壽命可相依於諸多因素,包括在處理期間其所曝露至之環境類型、任何清潔操作之頻率 及半導體製造商之製程品質要求。
一噴淋頭之LLCE可因若干因素而係必需的,該等因素包括:在噴淋頭充氣空間內聚集不期望之微粒、形成於影響電漿形成的噴淋頭之面向晶圓之表面中之表面變化、位於噴淋頭花盤中之氣體分佈孔之尺寸變化及影響製程可控制性及良率之其他因素。
由於在AHM處理期間可遇到比在其他類型之半導體製作中更苛刻之熱環境,因而在AHM製程中可使用習用噴淋頭處理之晶圓之數目可能更低,舉例而言介於10,000與20,000之間。此可導致更頻繁之噴淋頭更換,此可導致增加之組件成本及在發生LLCE時喪失之製造機會。以嵌入於一陶瓷噴淋頭花盤內之一RF電極為特徵之一混成陶瓷(HC)噴淋頭可在AHM背景中以及在可對噴淋頭設備造成類似嚴酷環境條件之其他半導體製程中提供一較長壽命之噴淋頭解決方案。
圖2A至圖2F繪示一HC噴淋頭200之一個實施方案之各種視圖。HC噴淋頭200如在圖2A及圖2B之等角剖面圖中所展示可包括一背板202、一陶瓷花盤總成210及一氣體分佈桿212。背板202可與桿套筒220連接。陶瓷花盤總成210可包括一陶瓷花盤214、一嵌入式接地/電源平面216(亦稱作一電極)及一接觸環218。氣體分佈桿212可橫跨桿套筒220與陶瓷花盤總成210之間。對於係「嵌平裝配」噴淋頭之HC噴淋頭200之實施方案可省略或顯著縮短氣體分佈桿212。
在各種晶圓處理階段期間,可在於其中發生晶圓處理之製程室內產生一電漿環境。HC噴淋頭200可因此曝露於及/或用於形成電漿環境。舉例而言,在一個組態中,HC噴淋頭200或其中之組件可用作用於使一電漿點火之一RF電源。該處理室內之一基座或其他結構可用作此種情形中之一RF接地。在其他組態中,HC噴淋頭200或其中之組件可用作RF接地,且該製程室內之基座或其他結構可用於供應RF電力用於電漿產生。
可使用電漿來啟動正處理之晶圓附近之各種製程氣體。為防止過早地啟動製程氣體,亦即,在藉由HC噴淋頭200將製程氣體分佈於晶圓表面上方之前,HC噴淋頭200可保護製程氣體不受可在HC噴淋頭200本身之體積內誘發一電漿之雜散RF能量之影響。HC噴淋頭200可經建構使得在圍繞HC噴淋頭200之內部體積周圍形成一法拉第籠。藉由實施法拉第籠,可消除或大大減小來自製程室內之電漿處理之RF能量在HC噴淋頭200之內部體積內電弧放電之可能性。防止HC噴淋頭200之內部空間內製程氣體之過早啟動可減小HC噴淋頭200之製程氣體與內部壁之間的化學反應量。
在圖2A至圖2F中所繪示之實施方案中,可藉由在接地/電源平面216、接觸環218、背板202及桿套筒220中使用導電材料來在HC噴淋頭200內形成一法拉第籠。此等結構可經組態以形成使HC噴淋頭200之內部不受雜散RF能量影響之一連續導電路徑。用於分佈氣體之法拉第籠中之穿孔(諸如氣體分佈孔222)可經定大小以使得最小化或消除經由該等穿孔之RF洩漏。
參照圖2A,背板202係一實質徑向對稱部分,其包括盤形背壁204,盤形背壁204在該背壁之周邊處轉變為一圓柱壁206。雖然使用「盤形」及「圓柱壁」來闡述此等特徵之總體形狀,但此等術語意欲包括實質上類似之結構,諸如,舉例而言,係略微錐形或圓錐形之背壁及圓柱壁以及介於此等結構之間的各種類型之轉變表面,諸如斜面及倒圓角曲面/球面。雖然圖2A展示在銲縫208處焊接在一起之單獨背壁204及圓柱壁206用以形成背板202,但背板202亦可製造為一單個經整合部分或可由多於兩個件製造。舉例而言,背板202可係由一實心鋁坯板機器加工。圖3A及圖3A'繪示背板202之兩個等角視圖。銲縫208不會呈現於非焊接背板結構中。
參照圖2B,背板202之背壁204可包括在背壁204之中心區域中之 一孔。該孔可經定大小以允許氣體分佈桿212插入其中,同時防止氣體分佈桿212之外部表面與該孔之內部邊緣之間的一環形氣體流間隙。該孔亦可經定大小以允許將桿套筒220裝配至背板202,如在圖2B中所展示。該孔可包括一臺階或其他特徵以促進將桿套筒220裝配至背板202。桿套筒220可係焊接、銅銲、擴散接合或以其他方式熔合至背板202。本發明亦涵蓋其他組態,諸如,其中無氣體分佈桿212且將氣體簡單地引入至桿套筒220中之變化形式。圖3B及圖3B'繪示背板202及桿套筒220之兩個等角視圖。
桿套筒220之形狀可係大體圓筒形。桿套筒220可包括具有一第一內部直徑之一氣體分佈區及具有一第二內部直徑之一氣體分佈桿介面區。該第一內部直徑可經定大小以在氣體分佈桿212之外部表面與桿套筒220之一內部表面之間形成一環形氣體流間隙;該第一內部直徑可係與背板202之背壁中之孔之直徑大約相同之直徑。第二內部直徑可經定大小以允許氣體分佈桿212與桿套筒220之氣體分佈區之間的滑動嚙合。
背板202及桿套筒220可由鋁製造,雖然亦可使用其他導電材料。鋁尤其適合用於此應用,乃因其易於機器加工、相對廉價且當曝露於氟時形成氟化鋁(AlF3)而不遭受材料腐蝕。
在圖2A至圖2F中所展示之實施方案中,陶瓷花盤總成210係一大體環形碟。如上文所提及,陶瓷花盤總成210可包括陶瓷花盤214、接地/電源平面216及接觸環218。接地/電源平面216可嵌入於陶瓷花盤214內。圖2E展示HC噴淋頭200之一分解圖,其可供針對額外細節之參考。圖3C及圖3C'繪示陶瓷花盤總成210之兩個等角視圖。
如在圖2B、圖2B'及圖2E中所展示,接觸環218上之支座支柱244可經由陶瓷花盤214中之支座盲孔246穿過陶瓷花盤214且可經由接觸貼片232與接地/電源平面216電接觸。接地/電源平面216可係使用(舉 例而言)擴散接合或銅銲在接觸貼片232處熔合至支座244。亦可使用可建立一導電結合之其他等效熔合技術。接觸環218上之支座244可與接觸環218分離地製造且稍後將其結合至接觸環218。舉例而言,接觸環218可包括經設計用於各自接納一支座支柱244之一或多個孔特徵,然後將支座支柱244固定至接觸環218。支座支柱244與接觸環218之該連接可係永久性的,例如熔合接合或銅銲,或可係可回復的,例如螺紋式附接或擰緊。接觸環218與支座244可提供一RF電源或一接地源自氣體分佈桿212及/或桿套筒220到達該電極之一(或多個)導電路徑。可使用一導電接觸介面(諸如一螺紋、導電特徵、一RF襯墊或一接觸接針)來提供該(等)導電路徑與氣體分佈桿212及/或桿套筒220之間的電傳導。
在圖2A至圖2F中,接觸環218具有一實質矩形剖面,雖然亦可使用其他剖面。除支座支柱244自其所突出之表面外,接觸環218亦可包括可組態有經設計以機械方式及電方式將陶瓷花盤總成210連接至背板202之介面特徵之一外部表面230。舉例而言,接觸環218之該外部表面可帶有螺紋,且背板202之對應內部表面可包括匹配之帶有螺紋之特徵,從而允許該兩個部分之間的螺紋嚙合。亦可使用其他類型之機械及電連接;舉例而言,可使用卡口型連接或擰緊。
接地/電源平面216及陶瓷花盤214兩者可包括一圖案之小氣體分佈孔222。在一項實施方案中,約3000個氣體分佈孔可跨越接地/電源平面216及陶瓷花盤214分佈;兩個部分上之孔圖案可經設計以對準,雖然接地/電源平面216中之氣體分佈孔之孔直徑可具有比陶瓷花盤214中之對應氣體分佈孔222大之一直徑。
圖2F展示陶瓷花盤總成210之一剖面圖;該剖面平行於接地/電源平面216之總體平面且與其相交。使用陰影法來指示接地/電源平面216;未將陶瓷花盤214畫影線。插圖2F'繪示陶瓷花盤總成210之一部 分之一近視圖。如可見,接地/電源平面216可以孔250為特徵,孔250係比氣體分佈孔222大之一直徑。此可允許藉由陶瓷花盤214來完全囊封除接觸貼片232(其展示為虛線圓)之外的接地/電源平面216。
在一個實施方案中,陶瓷花盤214中之氣體分佈孔222之直徑可係0.050",而接地/電源平面216中之對應孔250之直徑可係0.100"。亦可使用其他氣體分佈孔大小,例如直徑在0.02"至0.06"之範圍中之大小。作為一一般規則,接地/電源平面216中之孔250之直徑可係陶瓷花盤214中之對應氣體分佈孔222之100%或更大,雖然接地/電源平面216中之孔250比陶瓷花盤214中之氣體分佈孔222之直徑大至少0.04"。
氣體分佈孔222可配置成數種不同組態中之任一種,包括柵格陣列、環形陣列、螺旋形、偏移螺旋形、六角形陣列等。該等孔配置可導致跨越噴淋頭之變化之孔密度。端視所期望之氣體流動,可在不同位置使用不同直徑之氣體分佈孔。在圖2F中所繪畫之實施方案中,氣體分佈孔222皆係為相同標稱直徑且經使用具有不同直徑且具有不同數目個孔之孔圓圖案化。
氣體分佈孔222之直徑亦可貫穿陶瓷花盤214之厚度而變化。舉例而言,氣體分佈孔222在面向背板202之陶瓷花盤214之面上可係一第一直徑且在氣體分佈孔222離開陶瓷花盤214之對置側時可係一第二直徑。該第一直徑可大於該第二直徑。不考慮使氣體分佈孔大小變化之電位,接地/電源平面216中之孔250可係相對於所量測的與接地/電源平面216在同一平面中的陶瓷花盤214中之氣體分佈孔222之直徑而定大小。
在某些實施方案中,除接地/電源平面216之外,在陶瓷花盤214中亦可嵌入一加熱器元件。該加熱器元件可不與接地/電源平面216電接觸且可藉由自陶瓷花盤214插置陶瓷材料而與接地/電源平面216絕 緣。
可使用100 VAC至240 VAC藉由一受控閉合迴圈加熱器控制器來給該加熱器供電。該加熱器控制器可經程式化至一預定溫度設定點;可經由一溫度感測器(諸如一熱電偶)將該溫度報告至該加熱器控制器,且可關閉及接通該電力來維持該設定點。
圖3A及圖3A'分別展示背板202之一等角視圖及一傾斜角背側視圖。圖3B及圖3B'展示與圖3A及圖3A'中之視圖對應之視圖,但附接有桿套筒220。圖3C及圖3C'分別繪示陶瓷花盤總成210之一等角視圖及一傾斜角背側視圖。
如在圖2A至圖2E中所展示,氣體分佈桿212可橫跨陶瓷花盤總成210與桿套筒220之氣體分佈區之間。圖3D及圖3D'繪示陶瓷花盤總成210及所附接之氣體分佈桿212之兩個等角視圖。氣體分佈桿212之形狀可係大體圓柱形且大部分係中空的。氣體分佈桿212之一個端236可以一連接點為特徵,該連接點用於附接一或多個製程氣體入口饋送線以允許氣體流入至該中空區中。氣體分佈桿212亦可以多個孔特徵224為特徵,該多個孔特徵224經組態以允許經由一或多個製程氣體入口饋送件引入至氣體分佈桿212之中空區中之製程氣體逃逸至桿套筒220與氣體分佈桿212之外部表面之間的環形氣體流空隙中。多個孔特徵224可包括鑽通氣體分佈桿212之直徑之孔,且每一孔之中心線可正交於前一孔。該等孔可(舉例而言)包括6個通孔,每一通孔在氣體分佈桿212之每一側上包括一個孔,因而總共12個孔。亦可使用桿之其他組態,例如無一內部氣體分佈桿之一桿套筒。
氣體分佈桿212亦可包括用於與陶瓷花盤214介接之一介接區域。舉例而言,氣體分佈桿212可在一個端上包括一凸緣或台肩,該凸緣或台肩經組態以巢套於在背對HC噴淋頭200的陶瓷花盤214之面中圍繞陶瓷花盤214之中心孔之一淺擴孔內側。氣體分佈桿212亦可以一滑 動配合或過盈配合與陶瓷花盤214之中心孔之側壁嚙合。
氣體分佈桿212亦可包括一偏置器件,該偏置器件經組態以抵消因溫度效應所致的陶瓷花盤214之潛在下垂或翹曲。該偏置器件可係一彈簧,諸如圖2A中之彈簧201。
氣體分佈桿212亦可與接地/電源平面216電連接用於提供額外之或替代之自陶瓷花盤總成210至整個接地源或一電源之一導電路徑。
如上文所述,接地/電源平面可嵌入於陶瓷花盤內。該嵌入(舉例而言)可藉由在數個階段使用機器加工、燒結、擴散接合及/或銅銲製程形成陶瓷花盤來實現。圖4A至圖4J繪示在各製造階段期間一陶瓷花盤總成410之剖面圖。方便起見,用共享後兩個共同數字之編號來列舉類似於圖2A至圖2F中所展示之結構之結構,亦即,圖2A至圖2F中之陶瓷花盤214類似於圖4A至圖4J中之陶瓷花盤414。此慣例僅為使讀者容易參考而不視為以任何方式限制。
圖4A繪示在組裝之後陶瓷花盤總成410之一剖面圖。圖4B至圖4J繪示貫穿各製造階段之圖4A中所展示之組件。
圖4B展示處於該製造製程之早期階段中之陶瓷花盤414連同各種氣體分佈孔422及用於一氣體分佈桿之一中心孔(參見圖4I及圖4J);陶瓷材料可係形成成該花盤之總體形狀,例如,一碟。可藉由均衡地將一粉末形式之陶瓷材料按壓成期望之大致形狀、後跟對該大致形狀之綠色機器加工來形成該早期階段之陶瓷花盤414。該經機器加工之大致形狀可然後根據需要予以燒結及機器精加工。此等製程或類似製程亦可用於下文關於一接地/電源平面416概述之隨後處理階段或隨後之陶瓷花盤414之形成中。
在經部分形成之陶瓷花盤414之頂部表面上,可形成一接地/電源平面凹座452。接地/電源平面凹座452在某些實施方案中可經定位以使得一接地/電源平面416之頂部(亦即,距晶圓處理區域最遠之接地/ 電源平面416之平面)距陶瓷花盤414之外表面(亦即距晶圓處理區域最近之陶瓷花盤414之表面)大約0.050"。亦可使用其他接地/電源平面至花盤支距,例如,距陶瓷花盤之面近達0.02"之距離。
圖4C繪示處於圖4B中所展示之同一階段之陶瓷花盤414,雖然現在已在接地/電源平面凹座452中形成接地/電源平面416。接地/電源平面416可舉例而言係0.002"厚,雖然亦可設想其他厚度。
在於經部分形成之陶瓷花盤414中嵌入接地/電源平面416之後,可藉由添加額外之陶瓷材料來囊封接地/電源平面416。如在圖4D中所展示,除可經由支座通孔446曝露的接地/電源平面416之部分之外,可藉由陶瓷材料完全囊封接地/電源平面416。氣體分佈孔422可經形成而具有不同直徑,如在圖4D中所展示。然而,氣體分佈孔422亦可係一單個直徑。一選用加熱器凹座454可形成於經進一步形成之陶瓷花盤414之頂部表面中。可藉由在接地/電源平面416上方沈積陶瓷材料之一額外燒結步驟發生該囊封,或可藉由在經部分形成之陶瓷花盤414與然後可使用對陶瓷材料之擴散接合、銅銲或熱噴塗而接合至該經部分形成之陶瓷花盤414的陶瓷花盤414之一對應且單獨形成之部分之間夾入接地/電源平面416來發生該囊封。
圖4E繪示其中可將一電阻加熱器元件456之材料嵌入於加熱器凹座454內之一選用處理步驟。加熱器元件456係選用的且某些HC噴淋頭可不包括加熱器元件456或加熱器凹座454。加熱器元件可呈安放於或形成於陶瓷花盤中之一溝道或凹座上/內之一蛇形線或跡線之形式。加熱器元件可採用一迂迴路線遍佈陶瓷花盤。亦可存在嵌入於花盤內之數個加熱器元件,從而允許單獨控制。在某些實施方案中,可存在數個嵌入於花盤內之加熱器元件,該等加熱器元件具有共同端點且並行操作。該(等)加熱器元件可由具有充分電阻之一導電材料製成以在一電流穿過加熱器元件時產生熱。該加熱器元件亦可由具有類似 於陶瓷之一CTE之一CTE之一材料製成,該該加熱器元件嵌入於花盤內以避免熱膨脹問題。舉例而言,鎢或鉬可適合用作一加熱器元件材料。
加熱器元件可由各種材料製成,諸如,具有非常接近於所用陶瓷之熱膨脹係數之一熱膨脹係數之導電材料。舉例而言,可將鎢及鉬用於某些加熱器元件。
圖4F展示在接納一最後陶瓷材料層之後的陶瓷花盤414。除接地/電源平面416及選用加熱器元件456之導電接觸路徑之可能部分外,接地/電源平面416及選用加熱器元件456兩者可由陶瓷花盤414之陶瓷材料完全囊封。可以類似於用於囊封接地/電源平面416之方式實施該囊封。陶瓷花盤414可(舉例而言)在燒結完成時可標稱地係0.260"(英吋)。
圖4G繪示正降低於陶瓷花盤414之頂部上之一接觸環418;支座444可插入至支座通孔446中以接觸接地/電源平面416。支座444然後可接合至區458中之接地/電源平面416,如在圖4H中所展示。可在接觸環418之主體與陶瓷花盤414之間形成一間隙,例如0.040",以允許陶瓷花盤414之熱膨脹而不在區458中誘發過度應力。
圖4I繪示將一氣體分佈桿412插入至陶瓷花盤總成410中。圖4J繪示具有氣體分佈桿412之經完全組裝之陶瓷花盤總成410。
陶瓷花盤總成210或410中以及本文中所論述之其他陶瓷花盤中所包括之組件可係由各種材料製造。
陶瓷花盤214或414可係由氧化鋁(Al2O3)或氮化鋁(AlN)、氮化矽(Si3N4)或碳化矽製造。亦可使用呈現強耐受氟侵蝕性及在高溫(亦即,500℃至600℃)下之良好尺寸可靠性之其他材料。所用特定陶瓷可需要經選擇以避免與特定半導體處理應用中所用的製程氣體反應。氮化硼(BN)及氮氧化鋁(AlON)係可用於此應用中之陶瓷之另外實 例,雖然此等材料可因製造問題而實施起來具有挑戰性。
接地/電源平面216或416以及至接地/電源平面216或416之導電路徑之元件可(舉例而言)由鎢或鉬製造。可使用具有耐高溫性及具有類似於陶瓷花盤材料之熱膨脹係數之熱膨脹係數之其他導電材料。由於接地/電源平面216或416可係嵌入於陶瓷花盤214或414內或受陶瓷花盤214或414保護,因而接地/電源平面216或416無需由耐受氟侵蝕之一材料製成。可用一保護性塗層(諸如一鎳鍍層)塗佈可能未囊封於陶瓷花盤214或414內之至接地/電源平面216或416之導電路徑之部分,此可防止或減小因製成氣體曝露所致的對導電路徑之損壞。亦可使用其他保護性塗層,諸如在提高溫度下保持其對腐蝕及氧化之耐受性之貴金屬(例如,金、鉑、鈀或銥)塗層。
電阻加熱器元件456可由(舉例而言)鎢或鉬製造。
可使用具有耐高溫性及具有類似於陶瓷花盤材料之熱膨脹係數之熱膨脹係數之其他導電材料。由於電阻加熱器元件456可嵌入於陶瓷花盤214或414內且受陶瓷花盤214或414保護,因而電阻加熱器元件456無需由耐受氟侵蝕之一材料製作,雖然電阻加熱器元件之部分或通向其之導體若曝露至製程氣體則可能需要用一保護性塗層(諸如一鎳鍍層)保護。亦可使用其他保護性塗層,諸如在提高溫度下保持其對腐蝕及氧化之耐受性之貴金屬(例如,金、鉑、鈀或銥)塗層。
接觸環218或418亦可由鎢或鉬製造;接觸環218或418通常可由與接地/電源平面216或416接合相容且具有類似熱膨脹特性之一材料製造。
圖5A至圖5G繪示一HC噴淋頭之另一實施方案。如在圖5A中可見,一HC噴淋頭500具有類似於圖2D中所展示之HC噴淋頭200之一外形。HC噴淋頭500包括一背板502,背板502連接至一氣體分佈桿512。一入口536允許將製程氣體引入至HC噴淋頭500內部。
圖5B繪示HC噴淋頭500之一分解等角視圖。拆卸背板螺栓504允許自背板502拆卸一接觸環518。在拆卸接觸環518之後,可釋放夾在背板502與接觸環518之間的一陶瓷花盤總成510。可將背板螺栓504擰至接觸環518中之帶螺紋孔中。陶瓷花盤總成510可包括一RF套圈520,RF套圈520可採用環繞一陶瓷花盤514且直徑顯著大於其厚度(例如,一直徑約為數英吋或數十英吋,而一厚度約為千分之一或百分之一英吋)之一薄壁箍環之大體形式。在某些實施方案中,RF套圈520可由經首尾相接地配置以形成一標稱箍環形狀之一或多個段製成。舉例而言,RF套圈520可由一單個條形成,該單個條經成環而成該條之兩個端重疊之一箍環形狀。在另一實例中,RF套圈520可由四個較短條形成,該等條中之每一者之端重疊或與相鄰條之端緊挨著。外部套圈片526可自RF套圈520突出,使得背板螺栓504穿過外部套圈片526中之孔以在將陶瓷花盤總成510夾入於接觸環518與背板502之間時,將外部套圈片526緊固就位。此允許經由外部套圈片526使RF套圈520與背板502電接觸。
圖5C繪示HC噴淋頭500之一等角剖面圖。如可見,氣體分佈桿512與介於背板502與陶瓷花盤總成510之間的充氣空間流體連通。背板502可以在背板502之外圓周周圍延續之一環形凹槽532為特徵。環形凹槽532可經定大小用以免除用於將RF套圈520附接至陶瓷花盤總成510之緊固件(參見圖5F及圖5G中之花盤螺栓524)且可包括與陶瓷花盤總成510形成一輕微、壓縮接觸之一內邊緣。陶瓷花盤總成510可包括陶瓷花盤514,陶瓷花盤514可包括一嵌入接地/電源平面516。複數個氣體分佈孔522可將該充氣空間與HC噴淋頭500外部之周圍環境流體連接。
圖5D及圖5E分別繪示陶瓷花盤總成510之仰視圖及俯視圖。在該所繪畫之實施方案中,氣體分佈孔522在陶瓷花盤總成510之大約三分 之一直徑之一區域內形成一低密度圖案且在陶瓷花盤總成510之剩餘區域中形成一較高密度圖案。標記特徵538亦可見,在組裝期間可使用該標記特徵來徑向對準陶瓷花盤總成510之組件。
圖5F繪示陶瓷花盤總成510之一等角俯視圖。RF套圈520允許陶瓷花盤514及背板502不同程度地膨脹而不誘發顯著之因應變誘發之應力。此可允許將HC噴淋頭500用於具有較大熱差之環境中而不冒因溫度誘發使背板502或陶瓷花盤總成510破裂之危險。可藉由RF套圈520調節背板502與陶瓷花盤總成510之間的熱膨脹不匹配,RF套圈520可由(舉例而言)鋁、鈦、鉬、鎢或具有低電阻率、滲透性及/或蠕變(高彈性)性質之其他材料製成。由於RF套圈520之薄度,RF套圈520可在極小負載下顯著偏斜,從而允許RF套圈520撓曲而不在背板502或陶瓷花盤總成510中誘發顯著應力。內部套圈片530可大約放置於外部套圈片526之間的中途之間,或反之亦然,以允許RF套圈520之增加之撓曲。由於RF套圈520可能未嵌入於陶瓷花盤514中,因而可用一保護性塗層(諸如鎳鍍層)來塗佈RF套圈520。可使用花盤螺栓524及內部套圈片530將RF套圈520附接至陶瓷花盤總成510。亦可使用其他保護性塗層,諸如在提高溫度下保持其對腐蝕及氧化之耐受性之貴金屬(例如,金、鉑、鈀或銥)塗層。
圖5G展示陶瓷花盤總成510之一等角分解圖。RF套圈520之總體形狀在此實施方案中可見係一大、薄壁箍環或環,其中內部套圈片530及外部套圈片526垂直於該箍環中心軸線彎曲。若需要,則可使用墊圈544及防松墊圈542來擴大花盤螺栓524。
陶瓷花盤514可包括一嵌入式接地/電源平面(未繪畫,乃因其係嵌入於該陶瓷花盤內),其類似於本申請案中所揭示之嵌入式接地/電源平面。一系列導電支座540可與該嵌入式接地/電源平面導電接觸。導電支座540可接合至該嵌入式接地/電源平面,且可用一保護性塗層 (諸如鎳鍍層)來塗佈。亦可使用其他保護性塗層,諸如在提高溫度下保持其對腐蝕及氧化之耐受性之貴金屬(例如,金、鉑、鈀或銥)塗層。可使用(舉例而言)一銅銲或擴散接合連接將導電支座540(以及與本文中所論述之嵌入式接地/電源平面連接之其他導電元件)接合至嵌入式接地/電源平面。在導電支座540與環形支座540所穿經之孔之間可存在一環形間隙。若存在,則可用一保護性塗佈材料(諸如鎳)填充此一間隙。亦可使用其他填充材料,諸如在提高溫度下保持其對腐蝕及氧化之耐受性之貴金屬(例如,金、鉑、鈀或銥)。在以經銅銲至嵌入式接地/電源平面之導電支座540為特徵之某些實施方案中,該間隙填充材料可與所用的銅銲材料相同。此可密封該導電支座/嵌入式接地/電源平面介面,且防止該嵌入式接地/電源平面受到製程氣體侵蝕。在圖5G中,未展示此一保護性間隙填料,雖然可見該環形間隙且將需要施加該保護性間隙填料。在LLCE期間,包括陶瓷花盤514及RF套圈520之陶瓷花盤總成510可經拆卸及調換得到一新單元。
圖6繪示一HC噴淋頭之另一實施方案之一簡化剖面圖。HC噴淋頭600以單式花盤/背板658為特徵,單式花盤/背板658可由一陶瓷(例如,氧化鋁)製成。單式花盤/背板658可包括類似於上文所論述之結構,例如單式花盤/背板658可包括一花盤部分、一背板部分及使該兩個部分結合之一環形外部壁。此等部分中之某些可製造為一單個件且然後在製造期間(例如)經由擴散接合結合至其他部分。可在單式花盤/背板658內圍封一噴淋頭充氣體積。
單式花盤/背板658之花盤部分可包括一圖案之氣體分佈孔622,且以嵌入於該陶瓷件內之一嵌入式接地/電源平面616(類似於本文件中所闡述之其他實施方案之在花盤中之嵌入式地/電源平面)為特徵。複數個導電導通體654可將嵌入式接地/電源平面616連接至嵌入於單式花盤/背板658之背板部分內之一導電路徑652。導電導通體654亦可 嵌入於單式花盤/背板658之陶瓷部分內。
由(舉例而言)鋁製成之一氣體分佈桿612可與單式花盤/背板658連接。氣體分佈桿612可經由(舉例而言)一機械介面(諸如帶螺紋介面650)連接至單式花盤/背板658。亦可使用其他機械介面特徵,諸如一卡口型介面。一埠(亦即,穿過單式花盤/背板之背板部分之一或多個穿孔)可提供自氣體分佈桿612至噴淋頭充氣體積之一流體流動路徑。該埠可係一實質上圓形單個開口,或可係大體配合於一標稱圓形區內之一開口群。在其他背板部分或背板中可發現類似埠。一RF襯墊646可經提供用於嵌入於單式花盤/背板658內之導電路徑652與氣體分佈桿612之間的電接觸。施加至氣體分佈桿612之電力(或接地)可因此轉移至嵌入式接地/電源平面616。一o形環密封件648可防止製程氣體經由帶螺紋介面650洩漏。一折流板634(例如,一圓形或近圓形板)可定位於單式花盤/背板658之充氣體積內且可幫助均勻地分佈遞送至該充氣之製程氣體。該折流板可藉由一(或多個)支座與背板間隔開。一電阻加熱器元件656可圍繞單式花盤/背板658之周界嵌入於單式花盤/背板658內;此一加熱器元件可嵌入於導電導通體654內部或外部。單式花盤/背板658可需要由多個件製作,該多個件然後結合(例如,經由擴散接合)在一起以形成最終組件。舉例而言,由於折流板634太大無法穿過單式花盤/背板658之基礎板部分中之孔配合,因而可在完成組裝單式花盤/背板658之前,安裝折流板634。在將折流板634安裝至單式花盤/背板658之背板部分上之後,可將單式花盤/背板658之花盤部分接合至該背板部分,從而將該折流板密封在單式花盤/背板658內。
HC噴淋頭600可減小冒熱膨脹問題之危險且可提供較某些其他HC噴淋頭設計為增強之一RF傳輸路徑。舉例而言,由於單式花盤/背板658與氣體分佈桿612在帶螺紋介面650處結合之事實,且螺紋介面650係一相對小直徑,因而氣體分佈桿612與單式花盤/背板658之間因 不同之熱膨脹性質(例如,若單式花盤/背板658係由氧化鋁製成,且氣體分佈桿係由鋁製成)所致的應變不匹配可導致該兩個部分之間顯著較小之相對位移,此可顯著減小熱應力且減小冒溫度誘發之破裂之危險。在此一設計中,可不需要類似於RF套圈520之一組件,此乃因與位於單式花盤/背板658之外邊緣附近之一介面相比,氣體分佈桿612與單式花盤/背板658之間的熱膨脹位移可顯著減小。
圖7繪示以一單式花盤/背板為特徵之另一HC噴淋頭。HC噴淋頭700可包括一單式花盤/背板758,其可類似於圖6中所展示之單式花盤/背板614。舉例而言,單式花盤/背板758可包括類似於圖6中之折流板634組態之一折流板734。在此特定實施方案中,單式花盤/背板758較單式花盤/背板614亦包括某些額外特徵(且省略另外一些特徵)。舉例而言,桿712可經由具有一螺栓分佈圓之一凸緣連接至單式花盤/背板758。桿螺絲764可經由單式花盤/背板758中之帶螺紋孔將桿712緊固至單式花盤/背板758。一o形環密封件748可用於防止經由桿712遞送至HC噴淋頭700之製程氣體經由該凸緣介面洩漏且侵蝕桿螺絲764。
單式花盤/背板758之花盤部分可包括一圖案之氣體分佈孔722以及一嵌入式接地/電源平面716,嵌入式接地/電源平面716可以類似於本文中所論述之其他嵌入式接地/電源平面之一方式嵌入。嵌入式接地/電源平面716可經由RF豎片(riser)或接針762與桿712導電接觸,RF豎片或接針762可接合至嵌入式接地/電源平面716。單式花盤/背板758之花盤部分亦可包括類似於圖4E中之嵌入式電阻加熱器元件456之一嵌入式加熱器元件760。
圖8A至圖8C展示一半導體處理裝置800之高層級圖示。一室810配備有與噴淋頭830及基座840介接之介面820。一晶圓880可由基座840支撐。圖8A繪示不在噴淋頭中利用一嵌入式電極之半導體處理裝置之一實施方案,此一實施方案可代表當前使用中之諸多處理裝置。
在圖8A中,噴淋頭830可係一標準、非嵌入式電極噴淋頭,且可與一RF源885連接以形成一陰極。基座840可充當一陽極且與接地阻抗890連接,出於此論述之目的,接地阻抗890可係零(雖然在實際實施方案中,可使用一非零接地阻抗值)。在此一配置中,若RF源885提供大約100V之一eSH且若將基座840保持為大約5V之一ePED,則電漿電位eP可大約係10V且晶圓電壓eW可大約係7V。
在圖8B中,將基座840與RF源885連接以形成一陰極,圖8B中之基座840可以一嵌入式電極860為特徵。噴淋頭830可充當一陽極且可與接地阻抗890連接;對於此論述接地阻抗890可如上文所論述係零。圖8B中所展示之組態可代表某些當前AHM室。在此等實施方案中,可藉由將RF電力自RF源885供應至基座840來將電漿850保持在約10V之一eP下,且將晶圓保持在-70V之一電位eW下。
在圖8C中,噴淋頭830配備有一嵌入式電極870(嵌入式接地/電源平面),諸如在此文件中上文所論述之電極。在該所繪畫之組態中,噴淋頭830充當一陽極且經由接地阻抗890接地至室810。基座840如在圖8B中包括嵌入式電極860,嵌入式電極860連接至RF源885。在目前為止所論述之圖8C之情形中,電漿電位eP大約係-10V,晶圓電位eW大約係-90V。然而,若將一DC電壓源eZ施加至嵌入式電極870,則電漿電位eP可移位至大約10V,且晶圓電位eW可移位至大約-70V。此使與在當前所用設備(諸如圖8B中所展示之設備)中所達成之電位相關,且允許將一HC噴淋頭用於當前在使用中之製程中而無需再評定該等製程之資格。可使用能夠供應高達約+200V之一DC電壓源來提供用於一寬範圍之室幾何體及HC噴淋頭設計之此偏壓。舉例而言,室壁與HC噴淋頭之間的分離距離可影響可能需要之DC電壓偏壓之量。
圖8D概述圖8A至圖8C之系統中之不同點處之不同電壓。第一列 包括電位eZ,其對應於接地(0)或對應於施加一DC電壓源(20)。第二列包括電位eP,其對應於電漿電位。第三列包括晶圓處之電位eW,且第四列列出電漿/晶圓電位差eP-eW。如可見,將一DC電壓添加至圖8C中所展示之噴淋頭電極致使製程電位條件(自晶圓/電漿觀點)在很大程度上鏡像使用圖8B之裝置產生之電位條件。可在如下文獻中發現對一半導體處理室中之電極與電漿之交互作用之進一步論述:舉例而言,B.Chapman之「GLOW DISCHARGE PROCESSES:SPUTTERING AND PLASMA ETCHING」162,(John Wiley & Sons,1980);H.R.Koenig及L.I.Maissel之「APPLICATION OF RF DISCHARGES TO SPUTTERING」14 IBM Journal of Research Development 168(1970);及J.W.Coburn及Eric Kay之43 Journal of Applied Physics 4965(1972),該等文獻之全文特此以引用方式併入。
由於一HC噴淋頭中之陶瓷花盤總成(或某些實施方案中之單式花盤/背板)係可拆卸的而非係一密封(例如,完全焊接)單元,因而可存取該HC噴淋頭之內部空間以供清潔。
在一清潔操作期間,可自一工具拆卸HC噴淋頭且拆卸陶瓷花盤。一旦拆卸陶瓷花盤,即刻自噴淋頭之內部體積容易地移除微粒物質。可以超聲波方式清潔陶瓷花盤本身。原理上可無限數目次地重新使用噴淋頭本身,雖然可需要定期更換陶瓷花盤總成。
本文中上文所闡述之裝置/製程可結合微影圖案化工具或製程來使用,舉例而言用於製作或製造半導體器件、顯示器、LED、光伏打板及諸如此類。通常,雖然未必,但將在一共同製作設施中一起使用此等工具或執行此等製程。一膜之微影圖案化通常包含以下步驟中之某些或所有,每一步驟皆係藉助若干可能工具實現:(1)使用一旋塗或噴塗工具在一工件(亦即基板)上施加光阻劑;(2)使用一熱板或火爐或UV固化工具來固化光阻劑;(3)使用一工具(諸如一晶圓步進機)使 該光阻劑曝露至可見光或UV或x射線光;(4)使該光阻劑顯影以便使用一工具(諸如一濕式台)選擇性地移除光阻劑且藉此將其圖案化;(5)藉由使用一乾式或電漿輔助蝕刻工具將該光阻劑圖案轉印至一下覆膜或工件上;及(6)使用一工具(諸如一RF或微波電漿光阻劑剝離劑)移除該光阻劑。此外,所揭示之方法可實施於其中微影及/或圖案化製程可在所揭示之方法之前或之後之一製程中。
在某些實施方案中,該HC噴淋頭可安裝於一反應器中且鏈接至具有用於控制製程操作之指令之一系統控制器。該系統控制器將通常包括一或多個記憶體器件及經組態以執行該等指令之一或多個處理器以便該裝置將執行各種半導體製作製程。含有用於控制製程操作之指令之機器可讀媒體可耦合至該系統控制器。該等處理器可包括一CPU或電腦且可包括一或多個類比及/或數位輸入/輸出連接件、步進機馬達控制器板等或與一或多個類比及/或數位輸入/輸出連接件、步進機馬達控制器板等通信連接。該系統控制器(舉例而言)可經組態以控制至噴淋頭之氣體遞送、基座移動、自該反應器抽空氣體之真空埠抽吸、電漿電極之電力及頻率及/或加熱元件及冷卻元件(若在一特定實施方案中存在)。
通常,將存在與該系統控制器相關聯之一使用者介面。
該使用者介面可包括一顯示螢幕、該裝置及/或製程條件之圖形軟體顯示器及諸如指向器件、鍵盤、觸控螢幕、麥克風等使用者輸入器件。該系統控制器可連接至一工具或模組中所展示之組件中之任一者或所有,包括本申請案之各圖中所展示之組件;該系統控制器之放置及連接可基於特定實施方案變化。
在某些實施方案中,該系統控制器控制處理室中之壓力。該系統控制器亦可藉由調節閥、液體遞送控制器及遞送系統中之MFC以及一排氣管道中之流動調節閥來控制該室中各種製程氣體之濃度。該系統 控制器執行包括用於控制時序、氣體及液體之流動速率、室溫度、室/噴淋頭/基座/基板溫度及/或一特定製程之其他參數(例如電極RF及DC電壓)之指令集之系統控制軟體。在某些實施方案中可採用儲存於與該控制器相關聯之記憶體器件上之其他電腦程式。在某些實施方案中,該系統控制器控制將一基板傳送出入於該等圖中所展示之各種裝置。
可用(舉例而言)任一習用電腦可讀程式語言,舉例而言,組合語言、C、C++、Pascal、Fortran或其他語言來撰寫用於控制一製程序列中之製程之電腦程式碼。由該處理器來執行所編譯之目的碼或指令碼以執行該程式中所識別之任務。可以諸多不同方式設計或組態該系統軟體。舉例而言,可撰寫各種室組件副常式或控制物件來控制執行所闡述之製程所必需之室組件之操作。用於此目的之程式或程式段之實例包括製程氣體控制程式碼、壓力控制程式碼及電漿控制程式碼。
該等控制器參數與諸如(舉例而言)每一操作之時序、該室內部之壓力、基板溫度、製程氣體流動速率、RF電力等製程條件以及上文所闡述之其他製程條件相關。此等參數以一配方之形式提供至使用者且可利用使用者介面輸入。可藉由該系統控制器之類比及/或數位輸入連接件提供用於監控該製程之信號。用於控制該製程之信號係在該裝置之類比及數位輸出連接件上輸出。
雖然本文已參照隨附圖式來詳細闡述本發明之數個實施方案,但應理解,本發明並不限於此等精確實施方案,而是熟習此項技術者可在該等實施方案中作出各種改變及修改而不背離所附申請專利範圍中所界定之本發明之精神之範疇。
502‧‧‧背板
504‧‧‧背板螺栓
510‧‧‧陶瓷花盤總成
512‧‧‧氣體分佈桿
514‧‧‧陶瓷花盤
518‧‧‧接觸環
520‧‧‧射頻套圈
524‧‧‧花盤螺栓
526‧‧‧外部套圈片
530‧‧‧內部套圈片

Claims (18)

  1. 一種氣體分佈器(distributor),其包含:一陶瓷花盤(ceramic faceplate),其用於一處理室之一基板處理噴淋頭(substrate processing showerhead),該陶瓷花盤包括第一通孔(through holes)之一第一圖案;一電極,其包括第二通孔之一第二圖案;及複數個支座(standoffs),其中該等支座可導電且各自與該電極電性耦合,其中:該電極嵌入於該陶瓷花盤內,該第二圖案匹配該第一圖案,該第一圖案包含當該陶瓷花盤被安裝於該處理室之該基板處理噴淋頭中時,供處理氣體流過該陶瓷花盤的所有通孔,每一第二通孔之尺寸大於對應之第一通孔,該陶瓷花盤為圓形的且具有複數個盲孔(blind holes),各盲孔端接於(terminate at)該電極且由該等支座之一不同者所佔據,且各支座均具有背離(facing away from)經曝露且未被該陶瓷花盤之陶瓷材料所覆蓋之該電極之一表面。
  2. 如請求項1之氣體分佈器,其中該陶瓷花盤之該陶瓷材料係選自由以下材料所構成之群組:氧化鋁(Al2O3)或氮化鋁(AlN)、氮化矽(Si3N4)、碳化矽、氮化硼(BN)及氮氧化鋁(AlON)。
  3. 如請求項1之氣體分佈器,其中該電極包括選自由以下材料所構成之群組之一材料:鉬及鎢。
  4. 如請求項1之氣體分佈器,其中該等支座包括選自由以下材料所構成之群組之一材料:鉬及鎢。
  5. 如請求項1之氣體分佈器,其中各支座背離該電極之該表面塗佈 有選自由以下材料所構成之群組之一材料:鎳、金、鉑、鈀及銥。
  6. 如請求項1之氣體分佈器,其中該等支座各藉由一接合連接(bonded connection)而連接至該電極,該接合連接係選自由以下各者所構成之群組:一擴散接合連接及一銅銲連接。
  7. 如請求項1之氣體分佈器,其中該等支座間隔地圍繞該陶瓷花盤之外周界(outer perimeter)。
  8. 如請求項1之氣體分佈器,其中該等支座沿著環繞該等第一通孔之該第一圖案之一圓形路徑間隔開。
  9. 如請求項8之氣體分佈器,其中該等支座突出自具有該等盲孔之該陶瓷花盤之一表面。
  10. 如請求項1之氣體分佈器,其中各第二通孔具有一直徑,該直徑大於或等於該第一圖案中之該對應之第一通孔之直徑的至少兩倍或該直徑較該第一圖案中之該對應之第一通孔之直徑大0.04"。
  11. 如請求項10之氣體分佈器,其中該電極與該陶瓷花盤兩者實質上均為圓形的,且該電極相較於該陶瓷花盤具有一較小外直徑。
  12. 如請求項1之氣體分佈器,其進一步包含至少一個加熱器元件,其中該至少一個加熱器元件:嵌入於該陶瓷花盤內,不與該電極電接觸,沿循不與該等第一通孔中之任一者相交之一路徑,且維持距每一第一通孔之該外周界達0.04"及該第一通孔之半徑中之至少較大者之一最小距離。
  13. 如請求項1之氣體分佈器,其進一步包含嵌入於該陶瓷花盤內之 一加熱器元件,該加熱器元件實質上環繞第一通孔之該第一圖案且定位於緊密靠近該陶瓷花盤之一最外標稱直徑處。
  14. 如請求項1之氣體分佈器,其中該電極被嵌入於該陶瓷花盤內距該陶瓷花盤之一面大約0.05"之一深度處,該陶瓷花盤之該面面對與該等支座自該電極延伸之方向相反的一方向。
  15. 如請求項1之氣體分佈器,其中該等第一通孔具有介於0.02"至0.06"之直徑。
  16. 如請求項1之氣體分佈器,其中該陶瓷花盤為平坦盤形。
  17. 如請求項1之氣體分佈器,其進一步包含:該處理室;該基板處理噴淋頭;及一基座,其中:該基板處理噴淋頭、該陶瓷花盤及該基座實質上定位於該處理室內,該陶瓷花盤與該基板處理噴淋頭連接,且該等支座提供該基板處理噴淋頭與該電極之間的部份導電路徑。
  18. 如請求項17之氣體分佈器,其進一步包含具有一第一端及一第二端之一氣體分佈桿,其中:在該氣體分佈桿上,該第一端與該第二端對置,該氣體分佈桿之該第一端與該處理室之一頂部連接,該氣體分佈桿之該第二端連接至該基板處理噴淋頭,且該陶瓷花盤在不自該處理室之頂部移除該氣體分佈桿之情形下可自該基板處理噴淋頭被移除。
TW105137645A 2011-03-04 2012-03-03 混成陶瓷噴淋頭 TWI616949B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161449537P 2011-03-04 2011-03-04
US61/449,537 2011-03-04
US13/411,369 2012-03-02
US13/411,369 US9441296B2 (en) 2011-03-04 2012-03-02 Hybrid ceramic showerhead

Publications (2)

Publication Number Publication Date
TW201709322A true TW201709322A (zh) 2017-03-01
TWI616949B TWI616949B (zh) 2018-03-01

Family

ID=46752556

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101107246A TWI566295B (zh) 2011-03-04 2012-03-03 混成陶瓷噴淋頭
TW105137645A TWI616949B (zh) 2011-03-04 2012-03-03 混成陶瓷噴淋頭

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW101107246A TWI566295B (zh) 2011-03-04 2012-03-03 混成陶瓷噴淋頭

Country Status (7)

Country Link
US (2) US9441296B2 (zh)
JP (1) JP5933602B2 (zh)
KR (2) KR101843609B1 (zh)
CN (2) CN106884157B (zh)
SG (2) SG10201602599XA (zh)
TW (2) TWI566295B (zh)
WO (1) WO2012122054A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709361B (zh) * 2018-12-29 2020-11-01 大陸商中微半導體設備(上海)股份有限公司 電漿處理裝置中氣體噴淋頭的接地連接結構、電漿處理裝置及導電連接結構
TWI767408B (zh) * 2019-12-05 2022-06-11 大陸商中微半導體設備(上海)股份有限公司 用於等離子體處理裝置中的連接結構和等離子體處理裝置

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
TWI490366B (zh) * 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9224626B2 (en) * 2012-07-03 2015-12-29 Watlow Electric Manufacturing Company Composite substrate for layered heaters
JP6068849B2 (ja) * 2012-07-17 2017-01-25 東京エレクトロン株式会社 上部電極、及びプラズマ処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6078450B2 (ja) * 2012-10-26 2017-02-08 日本碍子株式会社 半導体製造装置用部材及びその製法
US20140127911A1 (en) * 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
TWI497589B (zh) * 2012-12-17 2015-08-21 Global Material Science Co Ltd 乾蝕刻反應室腔體之上電極及其製造方法
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
WO2014103727A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 SiC膜成膜装置およびSiC膜の製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103993293B (zh) * 2013-02-15 2018-06-26 诺发系统公司 带温度控制的多室喷头
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US10100408B2 (en) * 2014-03-03 2018-10-16 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
CN104889111B (zh) * 2014-03-05 2018-05-25 北京北方华创微电子装备有限公司 一种腔室
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR102386812B1 (ko) * 2014-05-16 2022-04-15 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9528185B2 (en) * 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10134615B2 (en) * 2015-02-13 2018-11-20 Applied Materials, Inc. Substrate support with improved RF return
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102189211B1 (ko) * 2015-10-04 2020-12-09 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11225718B2 (en) * 2016-03-03 2022-01-18 Core Technology, Inc. Plasma treatment device and structure of reaction vessel for plasma treatment
US10483092B2 (en) 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI641016B (zh) * 2016-06-28 2018-11-11 周業投資股份有限公司 Upper electrode device
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6910834B2 (ja) * 2017-04-13 2021-07-28 日本特殊陶業株式会社 半導体製造装置用部品の製造方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102366180B1 (ko) * 2017-07-04 2022-02-22 세메스 주식회사 기판 처리 장치
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
TWI815813B (zh) * 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
TWI754765B (zh) * 2017-08-25 2022-02-11 美商應用材料股份有限公司 用於磊晶沉積製程之注入組件
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102420164B1 (ko) 2017-09-14 2022-07-12 삼성전자주식회사 기체의 유동 시뮬레이션을 수행하기 위한 컴퓨팅 시스템 및 시뮬레이션 방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
DE102017124456A1 (de) * 2017-10-19 2019-04-25 Heraeus Noblelight Gmbh Beheizbarer Gasinjektor
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
CN109817505B (zh) * 2017-11-20 2021-09-24 长鑫存储技术有限公司 等离子体供应装置及晶圆刻蚀装置
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
JP7125262B2 (ja) * 2017-12-19 2022-08-24 日本特殊陶業株式会社 シャワーヘッド用ガス分配体
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US20190226087A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. Heated ceramic faceplate
US11222771B2 (en) * 2018-02-05 2022-01-11 Applied Materials, Inc. Chemical control features in wafer process equipment
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11084694B2 (en) 2018-03-27 2021-08-10 Samsung Electronics Co., Ltd. Jacking tool and semiconductor process apparatus having the same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN111954927A (zh) * 2018-04-17 2020-11-17 应用材料公司 加热的陶瓷面板
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190376184A1 (en) * 2018-06-12 2019-12-12 Lam Research Corporation Chemical vapor deposition shower head for uniform gas distribution
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7042170B2 (ja) * 2018-06-22 2022-03-25 日本特殊陶業株式会社 シャワーヘッド用ガス分配体
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN108861680A (zh) * 2018-07-04 2018-11-23 赵全 一种节能环保的活性焦出料扬尘控制装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
KR102204026B1 (ko) * 2018-07-06 2021-01-18 주식회사 케이에스엠컴포넌트 세라믹 샤워 헤드 및 그를 구비한 화학 기상 증착 장치
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10751549B2 (en) * 2018-07-18 2020-08-25 Kenneth Hogstrom Passive radiotherapy intensity modulator for electrons
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN109360779A (zh) * 2018-11-13 2019-02-19 深圳市华星光电半导体显示技术有限公司 干蚀刻设备的上电极及其制造方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US20220189817A1 (en) * 2019-03-15 2022-06-16 Lam Research Corporation Friction stir welding in semiconductor manufacturing applications
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
TW202104656A (zh) * 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN111243933A (zh) * 2020-02-18 2020-06-05 信利(仁寿)高端显示科技有限公司 一种干法刻蚀设备的上部电极及干法刻蚀设备
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102371435B1 (ko) * 2021-05-03 2022-03-08 주식회사 기가레인 샤워 헤드
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
WO2023034760A1 (en) * 2021-09-02 2023-03-09 Lam Research Corporation Joining techniques for composite ceramic bodies
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102612876B1 (ko) * 2021-12-21 2023-12-12 주식회사 테스 샤워헤드 어셈블리
CN218146933U (zh) * 2022-03-25 2022-12-27 朗姆研究公司 衬底处理系统、用于衬底处理系统的喷头和喷头组件
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Family Cites Families (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (zh) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
JP3147392B2 (ja) 1991-03-04 2001-03-19 宇部サイコン株式会社 熱可塑性樹脂組成物
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JP3172537B2 (ja) 1994-03-29 2001-06-04 カール−ツァイス−スティフツング 湾曲した基材のコーティング用pcvd法及び装置
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
US5817406A (en) * 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6151203A (en) * 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
JP4809562B2 (ja) 1999-12-22 2011-11-09 アイクストロン、アーゲー 化学気相成膜反応室
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP3654142B2 (ja) 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
EP1361604B1 (en) 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR100735932B1 (ko) 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US20030070760A1 (en) * 2001-10-15 2003-04-17 Plasmion Corporation Method and apparatus having plate electrode for surface treatment using capillary discharge plasma
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP2003271218A (ja) * 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
WO2004074932A2 (en) * 2003-02-14 2004-09-02 Applied Materials, Inc. Method and apparatus for cleaning of native oxides with hydroge-containing radicals
KR100490049B1 (ko) 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
WO2004107394A2 (ja) * 2003-05-27 2004-12-09 Matsushita Electric Works, Ltd. プラズマ処理装置、プラズマ生成用の反応器の製造方法、及びプラズマ処理方法
JP4763974B2 (ja) 2003-05-27 2011-08-31 パナソニック電工株式会社 プラズマ処理装置及びプラズマ処理方法
WO2005054537A2 (en) 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP2005285846A (ja) 2004-03-26 2005-10-13 Ibiden Co Ltd プラズマエッチング装置のガス吹き出し板
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7449416B2 (en) 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
TWI306782B (en) 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
JP5461759B2 (ja) 2006-03-22 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
JP2008047869A (ja) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
CN101101887A (zh) * 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US8137462B2 (en) 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
JP4887202B2 (ja) 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5194125B2 (ja) 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
USD593640S1 (en) 2008-01-31 2009-06-02 Hansgrohe Ag Showerhead
CN101556904B (zh) * 2008-04-10 2010-12-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
US20090260571A1 (en) 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
JP5078792B2 (ja) 2008-07-29 2012-11-21 京セラ株式会社 誘電性構造体、誘電性構造体を用いた放電装置、流体改質装置、および反応システム
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (ko) 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
TWI490366B (zh) 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
KR100936059B1 (ko) * 2009-07-20 2010-01-08 (주)네오세라 반도체 웨이퍼 증착장비용 개스 인젝터 제조방법 및 개스 인젝터
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8840754B2 (en) * 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
CN103109357B (zh) 2010-10-19 2016-08-24 应用材料公司 用于紫外线纳米固化腔室的石英喷洒器
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US20120227665A1 (en) 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709361B (zh) * 2018-12-29 2020-11-01 大陸商中微半導體設備(上海)股份有限公司 電漿處理裝置中氣體噴淋頭的接地連接結構、電漿處理裝置及導電連接結構
TWI767408B (zh) * 2019-12-05 2022-06-11 大陸商中微半導體設備(上海)股份有限公司 用於等離子體處理裝置中的連接結構和等離子體處理裝置

Also Published As

Publication number Publication date
TWI616949B (zh) 2018-03-01
JP2014509783A (ja) 2014-04-21
CN106884157A (zh) 2017-06-23
CN103403843A (zh) 2013-11-20
CN106884157B (zh) 2019-06-21
TW201250827A (en) 2012-12-16
KR101937115B1 (ko) 2019-01-09
US20120222815A1 (en) 2012-09-06
US9441296B2 (en) 2016-09-13
KR101843609B1 (ko) 2018-05-14
JP5933602B2 (ja) 2016-06-15
TWI566295B (zh) 2017-01-11
KR20180037284A (ko) 2018-04-11
US20160348244A1 (en) 2016-12-01
SG10201602599XA (en) 2016-05-30
WO2012122054A3 (en) 2012-12-27
KR20140011364A (ko) 2014-01-28
CN103403843B (zh) 2016-12-14
WO2012122054A2 (en) 2012-09-13
SG192967A1 (en) 2013-09-30
US10400333B2 (en) 2019-09-03

Similar Documents

Publication Publication Date Title
TWI566295B (zh) 混成陶瓷噴淋頭
JP7453149B2 (ja) セラミックベースプレートを備えるマルチプレート静電チャック
KR102584684B1 (ko) 모놀리식 (monolithic) 세라믹 가스 분배 플레이트
CN112251734B (zh) 衬底基座
JP7063545B2 (ja) 静電チャック接合のための永久二次浸食封じ込め
JP6335538B2 (ja) プラズマ処理室用のガス分配部材を製造する方法
TWI796249B (zh) 可運動的邊緣環設計
TW201708602A (zh) 具有熱控面板之防腐蝕氣體分配歧管
KR20090014386A (ko) 탑재대 구조 및 열처리 장치
JP2012134535A (ja) 遊離炭素の除去方法
TW202025216A (zh) 具有延長壽命的侷限環
KR20180016300A (ko) 부분적으로 그물 형상이고 부분적으로 거의 그물 형상인 실리콘 카바이드 cvd
JP2023524651A (ja) 基板支持アセンブリのフローティングpcb設計
WO2023114082A1 (en) Improved thermal and electrical interface between parts in an etch chamber
TW202141684A (zh) 底部和中間邊緣環