KR102584684B1 - 모놀리식 (monolithic) 세라믹 가스 분배 플레이트 - Google Patents

모놀리식 (monolithic) 세라믹 가스 분배 플레이트 Download PDF

Info

Publication number
KR102584684B1
KR102584684B1 KR1020207005901A KR20207005901A KR102584684B1 KR 102584684 B1 KR102584684 B1 KR 102584684B1 KR 1020207005901 A KR1020207005901 A KR 1020207005901A KR 20207005901 A KR20207005901 A KR 20207005901A KR 102584684 B1 KR102584684 B1 KR 102584684B1
Authority
KR
South Korea
Prior art keywords
gas
distribution plate
monolithic ceramic
gas distribution
holes
Prior art date
Application number
KR1020207005901A
Other languages
English (en)
Other versions
KR20200024364A (ko
Inventor
제레미 터커
람키샨 라오 링엄팰리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200024364A publication Critical patent/KR20200024364A/ko
Application granted granted Critical
Publication of KR102584684B1 publication Critical patent/KR102584684B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/66Monolithic refractories or refractory mortars, including those whether or not containing clay
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/343Alumina or aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/345Refractory metal oxides
    • C04B2237/348Zirconia, hafnia, zirconates or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • C04B2237/366Aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • C04B2237/368Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/50Processing aspects relating to ceramic laminates or to the joining of ceramic articles with other articles by heating
    • C04B2237/62Forming laminates or joined articles comprising holes, channels or other types of openings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 기판들이 프로세싱될 수 있는 프로세싱 챔버에서 사용하기 위한 모놀리식 세라믹 가스 분배 플레이트는, 상부 표면, 하부 표면, 및 상부 표면과 하부 표면 사이에서 연장하는 외측 원통형 표면을 갖는 모놀리식 세라믹 바디를 포함한다. 하부 표면은 균일하게 이격된 제 1 위치들에 제 1 가스 유출구들을 포함하고, 제 1 가스 유출구들은 제 1 가스 유입구들과 제 1 가스 유출구들을 연결하는, 수직으로 연장하는 쓰루 홀들의 제 1 세트에 의해 상부 표면의 제 1 가스 유입구들과 유체로 연통한다. 하부 표면은 또한 제 1 위치들과 인접한, 균일하게 이격된 제 2 위치들에 제 2 가스 유출구들을 포함하고, 제 2 가스 유출구들은 제 2 가스 유출구들과 내측 플레넘을 연결하는, 수직으로 연장하는 쓰루 홀들의 제 2 세트에 의해 모놀리식 세라믹 바디의 내측 플레넘과 유체로 연통한다. 내측 플레넘은 상부 표면의 중심 부분에 위치된 제 2 가스 유입구와 유체로 연통하고, 내측 플레넘은 내측 상부 벽, 내측 하부 벽, 내측 외측 벽, 및 내측 상부 벽과 내측 하부 벽 사이에서 연장하는 필라들의 세트에 의해 규정된다. 수직으로 연장하는 쓰루 홀들의 제 1 세트의 쓰루 홀 각각은 제 1 가스 및 제 2 가스를 고립시키기 위해 필라들의 각각의 필라를 통과한다.

Description

모놀리식 (monolithic) 세라믹 가스 분배 플레이트
샤워헤드 어셈블리들은 증착, 에칭, 또는 다른 프로세스들 동안 웨이퍼 또는 기판의 표면에 걸쳐 프로세스 가스들을 분배하기 위해 반도체 제조 모듈들에서 종종 사용된다. 일부 프로세스들은 제 1 가스 공급부와 제 2 가스 공급부 사이를 교번하기 위해 순차적인 가스 전달을 사용한다.
일부 반도체 제조 방법들은 서로 콘택트 (contact) 해서는 안 되는 프로세스 가스들의 사용을 요구한다. 반도체 기판이 프로세싱 중인 반응 공간 내로 프로세스 가스들이 도입될 때까지 프로세스 가스들을 고립시키는 가스 전달 시스템들이 있지만, 이러한 시스템들은 기판에 걸쳐 가스들의 균일한 분배를 제공하지 않을 수도 있다. 따라서, 프로세스 가스들을 고립시키고 기판에 걸쳐 가스들을 균일하게 도입할 수 있는 개선된 가스 전달 시스템들이 필요하다.
임베디드 (embedded) 전극을 포함하는 모놀리식 세라믹 가스 분배 플레이트가 개시된다. 이러한 샤워헤드의 다양한 구현예들이 이하에 그리고 본 출원 전체에 기술된다. 이하에 논의된 구현예들은 도시된 구현예들에만 본 개시를 제한하는 것으로 보여지지 않을 것이라는 것이 이해될 것이다. 대조적으로, 본 명세서에 개요로 설명된 원리들 및 개념들과 일치하는 다른 구현예들이 또한 본 개시의 범위 내에 속할 수도 있다.
일 실시예에서, 반도체 기판들이 프로세싱될 수 있는 프로세싱 챔버에서 사용하기 위한 모놀리식 세라믹 가스 분배 플레이트는, 상부 표면, 하부 표면, 및 상부 표면과 하부 표면 사이로 연장하는 외측 원통형 표면을 갖는 모놀리식 세라믹 바디를 포함한다. 하부 표면은 균일하게 이격된 제 1 위치들에 제 1 가스 유출구들을 포함하고, 제 1 가스 유출구들은 제 1 가스 유입구들과 제 1 가스 유출구들을 연결하는, 수직으로 연장하는 쓰루 홀들 (through holes) 의 제 1 세트에 의해 상부 표면에서 제 1 가스 유입구들과 유체로 연통한다. 하부 표면은 제 1 위치들과 인접하여 균일하게 이격된 제 2 위치들에 제 2 가스 유출구들을 포함하고, 제 2 가스 유출구들은 제 2 가스 유출구들을 내측 플레넘 (plenum) 과 연결하는, 수직으로 연장하는 쓰루 홀들의 제 2 세트에 의해 모놀리식 세라믹 바디의 내측 플레넘과 유체로 연통한다. 내측 플레넘은 상부 표면의 중심 부분에 위치된 제 2 가스 유입구와 유체로 연통하고, 내측 플레넘은 내측 상부 벽, 내측 하부 벽, 내측 외측 벽, 및 내측 상부 벽과 내측 하부 벽 사이에서 연장하는 필라들 (pillars) 의 세트에 의해 규정된다. 이 실시예에서, 수직으로 연장하는 쓰루 홀들의 제 1 세트의 쓰루 홀 각각은 필라들의 각각의 필라를 통과한다.
상기 기술된 모놀리식 세라믹 가스 분배 플레이트에서, 상부 표면은 제 2 가스 유입구를 둘러싸는 환형 홈 (annular groove) 을 포함할 수 있다.
상기 기술된 모놀리식 세라믹 가스 분배 플레이트에서, 수직으로 연장하는 쓰루 홀들의 제 1 세트의 쓰루 홀 각각은 필라의 직경보다 약 3 내지 약 5 배 작거나 필라의 직경보다 약 6 내지 약 10 배 작은 직경을 가질 수 있다.
상기 기술된 모놀리식 세라믹 가스 분배 플레이트에서, 평면 전극은 모놀리식 세라믹 바디에 임베딩될 수 있다. 평면 전극은 수직으로 연장하는 쓰루 홀들의 제 1 세트의 위치들 및 수직으로 연장하는 쓰루 홀들의 제 2 세트의 위치들에서 갭들을 가질 수 있고, 갭들은 평면 전극이 수직으로 연장하는 쓰루 홀들의 제 1 세트 및 수직으로 연장하는 쓰루 홀들의 제 2 세트를 통과하는 가스들에 노출되지 않도록 구성된다.
상기 기술된 모놀리식 세라믹 가스 분배 플레이트에서, 필라들은 동일한 직경을 갖는 원통형 필라들일 수 있고, 그리고/또는 원통형 필라들은 수직으로 연장하는 쓰루 홀들의 제 2 세트의 동심 열들 (concentric rows) 에 의해 분리된 동심 열들 내에 배치될 수 있다.
상기 기술된 모놀리식 세라믹 가스 분배 플레이트에서, 필라들은 동일한 직경을 갖는 원통형 필라들일 수 있고, 플레넘은 필라들의 직경과 거의 동일한 높이를 가질 수 있다.
상기 기술된 모놀리식 세라믹 가스 분배 플레이트에서, 임베디드 전극은 내측 플레넘 아래 위치될 수 있고, 전기적으로 전도성 비아들은 모놀리식 세라믹 바디의 외측 주변부와 제 1 가스 유입구들의 최외측 열 사이에 원주로 이격된 위치들에서 임베디드 전극의 외측 부분으로부터 상향으로 연장할 수 있다.
상기 기술된 모놀리식 세라믹 가스 분배 플레이트에서, 하부 표면은 모놀리식 세라믹 바디의 두께보다 작은 거리로 모놀리식 세라믹 바디의 외측 주변부로부터 내측으로 연장하는 환형 리세스 (recess) 를 포함할 수 있다.
도 1은 반도체 프로세스 챔버의 단면을 도시한다.
도 2는 샤워헤드 어셈블리에 마운팅된 모놀리식 세라믹 가스 분배 플레이트의 사시 절단도를 도시한다.
도 3도 2에 도시된 샤워헤드 어셈블리의 등축 절단도를 도시한다.
도 4도 2에 도시된 샤워헤드 어셈블리의 중심 부분의 사시 절단도를 도시한다.
도 5도 2에 도시된 샤워헤드 어셈블리의 가스 전달 어셈블리의 상단 사시도를 도시한다.
도 6도 5에 도시된 가스 전달 어셈블리의 하단 도면이다.
도 7도 2에 도시된 모놀리식 세라믹 가스 분배 플레이트의 하단의 사시 절단도를 도시한다.
도 8도 2에 도시된 모놀리식 세라믹 가스 분배 플레이트의 외측 부분의 단면도를 도시한다.
도 9도 2에 도시된 모놀리식 세라믹 가스 분배 플레이트의 외측 부분의 사시 절단도를 도시한다.
도 10은 상부 층이 제거된 도 9에 도시된 모놀리식 세라믹 가스 분배 플레이트의 외측 부분의 사시도를 도시한다.
본 개시에 따른 가스 분배 플레이트 (또한 “대면플레이트” 로서 본 명세서에 지칭됨) 가 가스를 분배하고 CCP (Capacitively Coupled Plasma) 프로세스의 전극으로서 역할한다. 가스 분배 플레이트는 세라믹 바디를 포함한다. 일부 예들에서, 알루미늄 나이트라이드 (AlN), 알루미늄 옥사이드 (Al2O3), 실리콘 나이트라이드 (Si3N4), 이트륨 옥사이드 (Y2O3), 지르코늄 옥사이드 (ZrO2), 및 이들로부터 이루어진 합성물들이 사용될 수도 있다. 단지 예를 들면, 지르코늄 알루미네이트 또는 이트륨 알루미네이트는 불소에 높은 내식성 (corrosion resistance) 을 제공하기 위해 사용될 수도 있다. 가스 분배 플레이트는 가스 분배를 위한 쓰루 홀들 및 임베디드 전극을 포함한다. 일부 예들에서, 전기적으로 전도성인 비아들은 임베디드 전극에 무선 주파수 (RF) 전력을 전도하기 위해 대면플레이트의 외경 주위에 배치된다.
일부 예들에서, 전극과 비아들은 세라믹의 CTE (Coefficient of Thermal Expansion : 열 팽창 계수) 와 밀접하게 매칭하는 CTE를 갖는 금속으로 이루어진다. 일부 예들에서, 몰리브덴, 텅스텐, 또는 또 다른 적합한 금속 또는 금속 합금이 사용될 수도 있다. PECVD (Plasma Enhanced Chemical Vapor Deposition) 반응기 또는 PEALD (Plasma Enhanced Atomic Layer Deposition) 반응기에서, 가스 분배 플레이트는 CCP를 생성하도록 RF 전력구동된 전극으로서 역할한다.
세라믹의 사용은 대면플레이트가 고온 분위기들에서 사용되도록 한다. 가스 분배 플레이트는 CCP 회로에서 전력구동된 전극으로 역할하도록 가스 분배 플레이트를 요구하는 고온 PECVD 반응기 또는 고온 PEALD 반응기의 문제를 해결한다. 세라믹은 또한 가스 분배 플레이트가 대부분의 가스 화학물질들 및 플라즈마들에 내성이 있도록 한다. 일부 예들에서, 가스 분배 플레이트는 400 ℃ 내지 1100 ℃의 온도들에서 동작하고 그리고/또는 부식성 가스 화학물질들을 사용하는 CCP 반응기에서 사용된다. 대안적으로, 임의의 PECVD CCP 반응기에서 가스 분배 플레이트는 전극으로서, 또는 임의의 CVD 반응기에서 가스 분배 플레이트로서 사용될 수 있다.
이제 도 1을 참조하면, 프로세싱 챔버 (100) 의 예가 도시된다. 프로세싱 챔버 (100) 는 기판 지지부 (114) 에 인접하여 배치된 가스 분배 디바이스 (112) 를 포함한다. 일부 예들에서, 프로세싱 챔버 (100) 는 또 다른 프로세싱 챔버의 내부에 배치될 수도 있다. 페데스탈이 마이크로 프로세스 부피를 생성하기 위해 위치 내로 기판 지지부 (114) 를 들어올리도록 사용될 수도 있다. 가스 분배 디바이스 (112) 는 이하에 추가로 기술될 바와 같이, 프로세스 가스 및 퍼지 가스를 전달하고 그리고/또는 배기 가스를 제거하도록 사용되는 다양한 캐비티들 (cavities) 을 포함하는 대면플레이트 (124) 및 상부 부분 (120) 을 포함한다.
일부 예들에서, 대면플레이트 (124) 는 알루미늄 나이트라이드와 같은 비전도성 세라믹 재료로 이루어진다. 대면플레이트 (124) 는 제 1 표면 (126), (제 1 표면과 반대편이고 사용하는 동안 기판과 대면하는) 제 2 표면 (127), 측면 표면 (128), 및 (제 1 표면 (126) 으로부터 제 2 표면 (127) 로 연장하는) 홀들 (130) 을 갖는 세라믹 바디를 포함한다. 대면플레이트 (124) 는 절연체 (isolator) 상에 놓일 수도 있다. 일부 예들에서, 절연체 (132) 는 Al2O3 또는 또 다른 적합한 재료로 이루어질 수도 있다. 대면플레이트 (124) 는 임베디드 전극 (138) 을 포함할 수도 있다. 일부 예들에서, 기판 지지부 (114) 는 접지되거나 유동적이고, 대면플레이트 (124) 는 플라즈마 생성기 (142) 에 연결된다. 플라즈마 생성기 (142) 는 RF 소스 (source) (146), 그리고 매칭 및 분배 회로 (148) 를 포함한다.
도 1의 예에서, 상부 부분 (120) 은 제 1 캐비티 (156) 를 규정하는 중심부 섹션 (152) 을 포함할 수도 있다. 일부 예들에서, 중심부 섹션 (152) 은 Al2O3 또는 또 다른 적합한 재료로 이루어진다. 가스 전달 시스템 (160) 이 프로세싱 챔버 (100) 에 하나 이상의 프로세스 가스들, 퍼지 가스들, 등을 공급하기 위해 제공될 수도 있다. 가스 전달 시스템 (160) 은 대응하는 MFC들 (Mass Flow Controllers) (166), 밸브들 (170), 및 매니폴드 (manifold) (172) 와 유체로 연통하는 하나 이상의 가스 소스들 (164) 을 포함할 수도 있다. 매니폴드 (172) 는 제 1 캐비티 (156) 와 유체로 연통한다. 가스 전달 시스템은 매니폴드 (172) 에 하나 이상의 프로세스 가스들을 포함하는 가스 혼합물의 전달을 측정한다 (meter). 프로세스 가스들은 프로세싱 챔버 (100) 로의 전달 전에 매니폴드 (172) 내에서 혼합될 수도 있다. 이하에 설명된 바와 같이, 대면플레이트 (124) 는 서로 독립적인 두 개의 상이한 가스 화학물질들을 전달하기 위해 두 세트의 가스 유출구들을 가질 수 있다.
상부 부분 (120) 은 또한 중심부 섹션 (152) 둘레에 배치된 방사상 외측 섹션 (180) 을 포함한다. 방사상 외측 섹션 (180) 은 하나 이상의 층들 (182-1, 182-2, …및 182-N (집합적으로 층들 (182))) 을 포함할 수도 있고, N은 0보다 큰 정수이다. 도 1의 예에서, 방사상 외측 섹션 (180) 은 배기 캐비티 및 가스 커튼 캐비티를 규정하는 N=3인 층들 (182) 을 포함하지만, 부가적인 층 또는 보다 적은 층이 사용될 수도 있다. 중심부 섹션 (152) 및 방사상 외측 섹션 (180) 은 제 2 캐비티 (190) 를 규정하기 위해 대면플레이트 (124) 에 관해 이격된 관계로 배치된다. 프로세스 가스는 제 1 캐비티 (156) 를 통해 가스 전달 시스템 (160) 으로부터 제 2 캐비티 (190) 로 흐른다. 제 2 캐비티 (190) 내 프로세스 가스들은 기판 지지부 (114) 상에 배치된 기판에 걸쳐 프로세스 가스를 균일하게 분배하기 위해 대면플레이트 (124) 의 제 1 복수의 홀들 (130) 을 통해 흐른다. 일부 예들에서, 기판 지지부 (114) 는 가열된다.
하나 이상의 환형 시일 (seal) 들이 제 2 캐비티 (190) 의 상이한 부분들을 분리하기 위해 제공될 수도 있다. 일부 예들에서, 환형 시일들은 니켈 도금된 환형 시일들이다. 예를 들어, 제 1 환형 시일 및 제 2 환형 시일 (204 및 208) 은, 각각 제 2 캐비티 (190) 의 공급 부분 (210), 제 2 캐비티 (190) 의 배기 부분 (212), 및 가스 커튼 부분 (214) 사이에 각각 경계들을 규정하도록 제공될 수도 있다. 퍼지 가스는 가스 소스 (270) 및 밸브 (272) 에 의해 가스 커튼 부분 (214) 에 공급될 수도 있다.
이 예에서, 제 1 환형 시일 (204) 은 공급 부분 (210) 과 배기 부분 (212) 사이의 경계를 규정한다. (제 2 환형 시일 (208) 과 함께) 제 3 환형 시일 (220) 이 제 2 캐비티 (190) 의 가스 커튼 부분 (214) 을 규정하도록 제공될 수도 있다. 이 예에서, 제 2 환형 시일 (208) 은 제 2 캐비티 (190) 의 배기 부분 (212) 과 가스 커튼 부분 (214) 사이의 경계를 규정한다. 제 1 환형 시일, 제 2 환형 시일, 및 제 3 환형 시일 (204, 208, 및 220) 은, 각각 환형 금속 시일들을 포함할 수도 있다.
방사상 외측 섹션 (180) 은 제 2 캐비티 (190) 의 배기 부분 (212) 으로부터 배기 가스를 수용하는 배기 유입구들 (240) 및 배기 캐비티들 (242) 을 더 규정한다. 밸브 (250) 및 펌프 (252) 가 배기 부분 (212) 을 배출하도록 사용될 수도 있다. 방사상 외측 섹션 (180) 은 또한 제 2 캐비티 (190) 의 가스 커튼 부분 (214) 으로 퍼지 가스를 공급하는 가스 커튼 캐비티 (260) 및 가스 커튼 유출구 (262) 를 규정한다. 가스 소스 (270) 및 밸브 (272) 는 가스 커튼으로 공급된 퍼지 가스를 제어하도록 사용될 수도 있다.
제 3 환형 시일 (220) 이 또한 플라즈마 생성기 (142) 로부터 대면플레이트 (124) 에 임베딩된 전극 (138) 으로 전기적 접속을 제공할 수도 있지만, 전극 (138) 을 연결하기 위한 다른 방법들이 사용될 수도 있다.
제어기 (280) 가 센서들을 사용하여 시스템 파라미터들을 모니터링하기 위해, 그리고 가스 전달 시스템 (160), 플라즈마 생성기 (142), 및 프로세스의 다른 컴포넌트들을 제어하기 위해 사용될 수도 있다.
도 2는 샤워헤드 모듈 (300) 의 단면을 도시하며, 가스 전달 어셈블리 (400) 는 중심에 위치된 내측 도관 (402) 을 통해 제 1 가스를, 그리고 내측 도관 (402) 을 둘러싼 하나 이상의 외측 도관들 (404) 을 통해 제 2 가스를 공급할 수 있다. 가스 전달 어셈블리 (400) 의 상부 단부는 제 1 가스 및 제 2 가스를 고립시키기 위해 금속 C-링들 또는 O-링들과 같은 내측 시일 (406) 및 외측 시일 (408) 을 포함한다. 가스 전달 어셈블리 (400) 의 하부 단부는 하나 이상의 외측 도관들 (404) 을 통해 흐르는 제 2 가스가 하부 플레이트의 중심 구멍 (304) 내로 통과하도록, 샤워헤드 모듈 (300) 의 하부 플레이트 (302) 에 대해 시일하는 금속 C-링 또는 O-링과 같은 외측 시일 (410) 을 포함한다. 가스 전달 어셈블리 (400) 의 하부 단부는 대면플레이트 (500) 의 상부 표면에 대한 금속 C-링 또는 O-링과 같은 내측 시일 (416) 을 통해 시일되는, 중심 관형 연장부 (412) 를 포함한다. 이하에 보다 상세하게 설명한 바와 같이, 제 2 가스는 하부 플레이트 (302) 의 하부 표면과 대면플레이트 (500) 의 상부 표면 사이에서 제 1 플레넘 (상부 플레넘) (414) 내로 흐르고, 제 1 가스는 대면플레이트 (500) 의 제 2 플레넘 (내측 플레넘) (502) 내로 흐른다. 따라서, 제 1 가스 및 제 2 가스는 반도체 기판의 프로세싱 동안 대면플레이트 (500) 아래 반응 존 (504) 내로 공급될 때 서로 고립될 수 있다.
가스 전달 어셈블리 (400) 는 볼트들 (bolts) 과 같은 적합한 패스너들 (420) 을 사용하여 상단 플레이트 (306) 에 부착된 마운팅 플랜지 (mounting flange) (418) 에 의해 샤워헤드 모듈 (300) 의 상단 플레이트 (306) 상에 마운팅될 수 있다. 가스 전달 어셈블리 (400) 는 상부 가스 연결 플랜지 (422), 및 단일 알루미나 조각과 같은 세라믹 재료의 하부 스템 (424) 을 포함한다. 내측 도관 (402) 은 0.2 내지 0.3 인치, 바람직하게 약 0.25 인치와 같은 임의의 적합한 직경을 가질 수 있다. 외측 도관(들) (404) 은 0.1 내지 0.2 인치, 바람직하게 약 0.15 인치와 같은 동일한 직경을 갖는 여섯 개의 원주로 이격된 외측 도관들 (404) 을 포함할 수 있다. 여섯 개의 외측 도관들 (404) 은 내측 시일 (406) 이 지지되는 상부 관형 연장부 (428) 를 둘러싸는 환형 리세스 (426) 에 위치될 수 있다.
상단 플레이트 (306) 는 반응 존 (504) 으로부터 가스들을 공급 또는 배출하도록 구성된 중간 플레이트 (310) 에서 하나 이상의 캐비티들 (308) 에 연결된 하나 이상의 도관들을 포함할 수 있다. 예를 들어, 외측 캐비티 (308) 는 도 3에 도시된 바와 같이, 반응 존 (504) 주위에 가스 시일을 생성하는 불활성 가스의 커튼을 공급하기 위해 상단 플레이트 (306) 를 둘러싸는 절연체 (314) 의 가스 통로들 (312) 의 외측 링에 연결될 수 있다. 가스를 배출하기 위해, 절연체는 배기 가스를 배기 라인으로 인출하는 캐비티 (318) 에 연결된 배기 가스 통로들 (316) 의 내측 링을 포함할 수 있다.
도 4는 가스 전달 어셈블리 (400) 의 스템 (424) 의 관형 연장부 (412) 와 대면플레이트 (500) 사이의 연결의 상세들을 도시한다. 도시된 바와 같이, 내측 시일 (416) 은 대면플레이트 (500) 의 상부 표면 (508) 의 환형 홈 (506) 에 위치된다. 상부 표면 (508) 내로 연장되는 중심 구멍 (510) 은 대면플레이트 (500) 의 내측 플레넘 (502) 과 유체로 연통하고, 내측 플레넘 (502) 과 대면플레이트 (500) 의 하부 표면 (514) 사이에서 연장하는 제 1 가스 통로들 (512) 은 가스 전달 어셈블리 (400) 의 내측 도관 (402) 에 의해 전달된 제 1 가스가 반응 존 (504) 으로 전달되게 한다.
대면플레이트 (500) 는 상부 표면 (508) 에서 하부 표면 (514) 으로 연장하는 제 2 가스 통로들 (516) 을 포함한다. 제 2 가스 통로들 (516) 은 대면플레이트 (500) 위의 상부 플레넘 (414) 으로 하나 이상의 외측 도관들 (404) 에 의해 전달된 제 2 가스가 반응 존 (504) 에 전달되도록 한다. 반응 존 (504) 으로 도달하기 전에 제 1 가스 및 제 2 가스가 콘택트하게 되는 것을 방지하기 위해, 제 2 가스 통로들 (516) 은 원통형 필라들 (518) 을 통해 연장한다. 필라들 (518) 은 프로세싱 중인 반도체 기판에 걸쳐 내측 플레넘 (502) 의 부피를 최대화하고 제 1 가스의 플로우 균일성을 상승시킨다. 대면플레이트 (500) 는 또한 RF 에너지를 반응 존 (504) 내로 커플링하는 임베디드 전극 (520) 을 포함한다. 일 실시예에서, 상부 표면 및 하부 표면 (508, 514) 은 평면 표면들이고, 임베디드 전극 (520) 은 평면 상부 표면 및 평면 하부 표면 (508, 514) 에 평행하게 배향된 평면 전극이다.
도 5는 가스 전달 어셈블리 (400) 의 상부 단부의 상세들을 도시한다. 가스 전달 어셈블리 (400) 는 제 1 가스를 내측 도관 (402) 에 그리고 제 2 가스를 여섯 개의 외측 도관들 (404) 에 공급하는 적합한 가스 공급부를 부착하기 위한 패스너들의 수용을 위해 여섯 개의 구멍들을 갖는 가스 연결 플랜지를 포함한다. 도 6에 도시된 바와 같이, 가스 전달 어셈블리 (400) 는 스템 (424) 의 하부 단부 면에 여섯 개의 외측 도관들 (404) 의 유출구 및 관형 연장부 (412) 에 내측 도관 (402) 을 갖는 하부 단부를 갖는다.
도 7은 대면플레이트 (500) 의 사시 단면도로서, 하부 표면 (514) 은 제 1 가스 통로들 (512) 및 제 2 가스 통로들 (516) 의 유출구들의 고른 분포를 갖는다는 것을 알 수 있다. 예를 들어, 가스 통로 (512) 의 유출구들은 동심 열들로 배치될 수 있고, 가스 통로들 (516) 의 유출구는 가스 통로들 (512) 의 열들 사이에 개재된 동심 열들로 배치될 수 있다. 대면플레이트는 또한 임베디드 전극 (520) 에 연결된 전기적으로 전도성 비아들 (522) 을 포함한다. 예를 들어, 전도성 비아들 (522) 은 가스 통로들 (512, 516) 의 최외측 열의 외측에 위치될 수 있고 그리고/또는 전도성 비아들 (522) 은 대면플레이트 (500) 의 상부 표면으로 부분적으로 또는 전체적으로 연장될 수 있다.
도 8은 대면플레이트 (500) 의 외측 부분의 단면이다. 도시된 바와 같이, 전도성 비아 (522) 는 상부 표면 (508) 에서 임베디드 전극 (520) 으로 연장한다. 임베디드 전극 (520) 은 바람직하게 가스 통로들 (512, 516) 의 위치들에서 개구부들을 갖는 연속적인 플레이트 또는 그리드 (grid) 이다. 전도성 비아들 (522) 은 가스 통로들 (512, 516) 이 없는 환형 영역 (523) 에 위치될 수 있다. 대안적으로, 가스 통로들 (512, 516) 은 대면플레이트 (500) 의 하부 표면을 완전히 가로질러 연장될 수 있고, 전도성 비아들 (522) 은 가스 통로들 (512, 516) 의 하나 이상의 최외측 열들로 연장될 수 있다.
도 9는 가스 통로들 (516) 을 통과하는 위치에서의 대면플레이트 (500) 의 사시 단면이다. 도시된 바와 같이, 가스 통로들 (512) 은 가스 통로들 (516) 로부터 오프셋 (offset) 되고 가스 통로들 (512) 의 유입구들만이 내측 플레넘 (502) 에서 보여질 수 있다. 가스 통로들 (516) 은 일련의 동심 열들과 같은 임의의 적합한 패턴으로 배치될 수 있다. 마찬가지로, 도 10에 도시된 바와 같이, 대면플레이트 (500) 의 상단 부분이 필라들 (518) 을 보다 잘 예시하기 위해 도시되지 않았고, 가스 통로들 (512) 은 또한 동심 열들의 패턴으로 배치될 수 있다.
대면플레이트 (500) 제작 시, 그린 세라믹 시트들의 층들은 전극 (500), 전도성 비아들 (522), 내측 플레넘 (502), 필라들 (518), 가스 통로들 (512, 516), 중심 구멍 (510) 및 환형 홈 (506) 을 제공하기 위해 필요한 것에 따라 적층되고 머시닝 (machined) 된다. 상기 도시된 구현예에서, 세라믹 대면플레이트는 300 mm 또는 450 mm 직경의 반도체 웨이퍼들을 프로세싱하기에 충분히 큰 직경을 갖는 실질적으로 환형 디스크 (disk) 이다.
상기 기술된 바와 같이, 세라믹 대면플레이트 (500) 는 임베디드 전극 (520), 및 세라믹 대면플레이트 (500) 의 스탠드오프 블라인드 (standoff blind) 홀을 통해 세라믹 대면플레이트 (500) 를 통과하는 콘택트 링의 스탠드오프 기둥들 (posts) 에 전기적으로 접속될 수 있고 콘택트 패치들 (patches) 을 통해 임베디드 전극 (520) 과 전기적으로 콘택트할 수도 있는 콘택트 비아들 (522) 을 포함할 수도 있다. 임베디드 전극 (520) 은, 예를 들어 확산 본딩 (bonding) 또는 브레이징 (brazing) 을 사용하여 콘택트 패치들에서 스탠드오프들에 융합될 (fused) 수도 있다. 전기적으로 전도성 조인트 (joint) 를 확립하는 다른 등가의 융합 기법들 이 또한 사용될 수도 있다. 콘택트 링 상의 스탠드오프들은 콘택트 링으로부터 개별적으로 제작되고, 이후 콘택트 링에 연결될 수도 있다. 예를 들어, 콘택트 링은 이후 콘택트 링에 부착되는 스탠드오프 기둥을 수용하도록 각각 설계된 하나 이상의 홀 피처들을 포함할 수도 있다. 스탠드오프 기둥들의 콘택트 링으로의 연결은, 예를 들어 융합 본딩 또는 브레이징과 같이 영구적이거나, 예를 들어 쓰레드된 부착 또는 스크류들과 같이 되돌릴 수 있을 수도 있다. 콘택트 링 및 스탠드오프들은 임베디드 전극 (520) 에 도달하기 위해 RF 전력 소스 또는 접지 소스에 대한 전기적으로 전도성 경로 또는 경로들을 제공할 수도 있다. 텅스텐 또는 몰리브덴 임베디드 전극과 양립할 수 있는 열 팽창을 제공하기 위해, 콘택트 링은 텅스텐 또는 몰리브덴으로 이루어질 수 있다. 예를 들어, 개시가 참조로서 본 명세서에 인용된 공동으로 양도된 미국 특허 공개 번호 제 2012/0222815 호를 참조하라.
임베디드 전극 (520) 및 모놀리식 세라믹 가스 분배 플레이트 (500) 는 작은 가스 분배 홀들의 패턴을 포함할 수도 있다. 일 구현예에서, 대략 1000 내지 3000 개의 가스 분배 홀들은 모놀리식 세라믹 가스 분배 플레이트 (500) 의 노출된 표면으로 임베디드 전극 (520) 을 통과할 수도 있다. 예를 들어, 세라믹 가스 분배 플레이트 (500) 의 가스 분배 홀들은 직경이 0.03 인치일 수도 있는 반면, 임베디드 전극 (520) 의 대응하는 홀들은 직경이 0.15 인치일 수도 있다. 다른 가스 분배 홀 크기들은, 예를 들어 직경이 0.02 인치 내지 0.06 인치의 범위 내에 속하는 크기들이 또한 사용될 수도 있다. 일반적으로, 임베디드 전극 (520) 의 홀들은 세라믹 가스 분배 플레이트 (500) 의 대응하는 가스 분배 홀들보다 직경이 적어도 두 배 크지만, 임베디드 전극 (520) 의 홀들은 바람직하게 세라믹 층들의 박리를 방지하고, 임베디드 전극 (520) 이 프로세스 가스 또는 세정 가스에 노출되지 않는다는 것을 보장하기 위해 세라믹 가스 분배 플레이트 (500) 의 가스 분배 홀들보다 직경이 적어도 0.1 인치 크다.
가스 분배 홀들 (512, 516) 은 그리드 어레이들, 극 어레이들, 나선들, 오프셋 나선들, 육각형 어레이들, 등을 포함하는 임의의 목표된 구성으로 배치될 수도 있다. 가스 분배 홀 배치들은 샤워헤드에 걸쳐 가변하는 홀 밀도를 발생시킬 수도 있다. 상이한 직경들의 가스 분배 홀들은 목표된 가스 플로우에 따라 상이한 위치들에서 사용될 수도 있다. 바람직한 구현예에서, 가스 분배 홀들은 공칭 지름, 홀에서 홀 간격이 모두 동일하고, 상이한 직경들의 홀 원들을 사용하고 상이한 수의 홀들로 패터닝된다.
가스 분배 홀들 (512, 516) 은 세라믹 가스 분배 플레이트 (500) 의 두께를 통해 균일한 직경을 가지거나 직경을 가변시킬 수도 있다. 예를 들어, 가스 분배 홀들은 하부 플레이트 (302) 에 대면하는 세라믹 가스 분배 플레이트 (500) 의 표면의 제 1 직경일 수도 있고, 가스 분배 홀들이 프로세싱될 기판에 대면하는 노출된 하부 표면 (514) 을 나갈 때 제 2 직경일 수도 있다. 제 1 직경은 제 2 직경보다 클 수도 있다. 가스 분배 홀 크기들을 가변시킬 가능성과 관계없이, 임베디드 전극 (520) 내 홀들은 임베디드 전극 (520) 과 동일한 평면에서 측정될 때, 세라믹 가스 분배 플레이트 (500) 에서 가스 분배 홀들의 직경에 상대적으로 크기가 정해질 수도 있다.
세라믹 대면플레이트 (500) 는 알루미늄 옥사이드 (Al2O3), 알루미늄 나이트라이드 (AlN), 실리콘 나이트라이드 (Si3N4), 또는 실리콘 카바이드로부터 제조될 수도 있다. 불소에 의해 공격에 강한 내성, 및 고온, 즉 500 내지 600 ℃에서 우수한 치수 안정성을 나타내는 다른 재료들이 또한 사용될 수도 있다. 사용된 특정한 세라믹은 특히 반도체 프로세싱 적용예들에서 사용된 프로세스 가스들과의 화학적 상호작용들을 피하도록 선택되어야 할 수도 있다. 붕소 나이트라이드 (BN) 및 알루미늄 옥시나이트라이드 (AlON) 는 또한 본 출원에서 사용될 수도 있는 세라믹들의 예들이지만, 이들 재료들은 제작 문제들로 인해 구현하기 어려울 수도 있다.
예를 들어, 임베디드 전극 (520), 뿐만 아니라 임베디드 전극 (520) 으로 전도성 경로의 엘리먼트들은, 텅스텐 또는 몰리브덴으로 제작될 수도 있다. 고온 내성, 및 세라믹 대면플레이트 재료의 열 팽창 계수와 유사한 계수들을 갖는, 다른 전기적으로 전도성 재료들이 사용될 수도 있다. 세라믹 가스 분배 플레이트 (500) 내에 캡슐화되지 않을 수도 있는 임베디드 전극 (520) 에 대한 도전성 경로의 부분들은 니켈 도금과 같은 보호 코팅으로 코팅될 수도 있고, 이는 프로세스 가스 노출로 인해 전도성 경로의 손상을 방지하거나 감소시킬 수도 있다. 상승된 온도들에서 부식 및 산화에 내성을 보유한 귀금속들, 예를 들어 금, 백금, 팔라듐, 또는 이리듐의 코팅들과 같은 다른 보호 코팅들이 또한 사용될 수도 있다.
콘택트 링은 또한 텅스텐 또는 몰리브덴으로 제조될 수도 있다; 콘택트 링은 통상적으로 임베디드 전극과 본딩-호환되고 유사한 열 팽창 특성들을 갖는 재료로부터 제작될 수도 있다.
모놀리식 세라믹 가스 분배 플레이트 (500) 는 내측 플레넘 (502) (플레넘 2) 으로부터 보다 짧은 가스 통로들 (512) 을 통해 전달된 가스보다 보다 긴 가스 통로들 (516) 을 통해 가스를 전달하는 상부 플레넘 (플레넘 1) 을 제공하기 위해 챔버에 마운팅될 수 있다. 대면플레이트 (500) 는 테이프 주조 (casting) 라미네이트 제작 기법들에 의해 만들어질 수 있고, 그리고 기둥들 (필라들 (518)) 및 환형 홈 (506) 과 같은 다수의 구조적 피처들이 만들어질 수 있는 그린 시트에 머시닝될 수 있다. 상부 플레넘 (플레넘 1) 은 외측 가스 도관들 (404) 로부터 전달된 가스가 상부 플레넘 (414) (플레넘 1) 에서 무제한으로 흐르도록 하고, 보다 긴 가스 통로들 (516) 을 통해 빠져나가도록 배플들 (baffles) 이 없을 수 있다. 유사하게, 내측 도관 (402) 에 의해 전달된 가스는 내측 플레넘 (502) (플레넘 2) 을 통해 자유롭게 흐르고, 보다 짧은 가스 통로들 (512) 을 통해 빠져나갈 수 있다. 보다 긴 가스 통로들 (516) 은 보다 긴 가스 통로들 (516) 로 인한 보다 높은 전압 강하를 보상하기 위해 보다 짧은 가스 통로들 (512) 보다 수가 많을 수 있다. 예를 들어, 세라믹 가스 분배 플레이트 (500) 는 약 910 내지 930 개의 보다 짧은 가스 통로들 (512) 및 약 960 내지 980 개의 보다 긴 가스 통로들 (516) 을 가질 수 있다. 보다 긴 가스 통로들 (516) 은 15 내지 20 열들의 홀들과 같이 동심 원형 열들에 배치될 수 있다. 유사하게, 보다 짧은 가스 통로들 (512) 은 보다 긴 가스 통로들 (516) 의 열들과 교번하는 15 내지 20 열들의 홀들과 같이 동심 원형 열들에 배치될 수 있다. 바람직하게, 보다 긴 가스 통로들 (516) 은 보다 짧은 가스 통로들 (512) 과 동일한 수의 열들로 배치되고 홀들 사이의 방사상 간격은 보다 긴 가스 통로 및 보다 짧은 가스 통로 (512, 516) 에 대해 동일하다. 내측 플레넘 (502) 은 바람직하게 약 200 cc 이하의 총 부피와 함께 약 0.1 인치 이하의 작은 높이를 갖는다. 일 실시예에서, 임베디드 전극 (520) 에 전력을 공급하기 위해 세라믹 가스 분배 플레이트 (500) 의 외측 주변부 및 여섯 개의 전도성 비아들 (522) 에 가깝게 연장하는 가스 통로들 (512, 516) 은, 하나 이상의 최외측 열의 가스 통로들 (512, 516) 내로 연장하는 위치들에 위치될 수 있다.
ALD 프로세싱에서, 상이한 가스 화학물질들은 도징 단계 이후에 변환 단계의 사이클들을 수행하도록 순차적으로 공급된다. ALD에 대해 세라믹 가스 분배 플레이트 (500) 를 사용할 때, 도징 가스는 보다 많은 수의 보다 긴 가스 통로들 (516) 과 유체로 연통하는 플레넘 1 (상부 플레넘 (414)) 에 공급될 수 있고, 변환 가스는 보다 적은 수의 보다 짧은 가스 통로들 (512) 과 유체로 연통하는 플레넘 2 (내측 플레넘 (502)) 에 공급될 수 있다.
본 발명의 여러 구현예들이 첨부한 도면들을 참조하여 본 명세서에 상세하게 기술되었지만, 본 발명은 이들 정확한 구현예들에 한정되지 않고, 다양한 변화들 및 변형들이 첨부된 청구항들에 정의된 바와 같이 본 발명의 정신의 범위로부터 벗어나지 않고 그 안에서 달성될 수도 있다는 것이 당업자에게 이해된다.

Claims (20)

  1. 반도체 기판들이 프로세싱될 수 있는 화학적 증착 장치에서 사용을 위한 모놀리식 (monolithic) 세라믹 가스 분배 플레이트에 있어서,
    상부 표면, 하부 표면, 및 상기 상부 표면과 상기 하부 표면 사이에서 연장하는 외측 원통형 표면을 갖는 모놀리식 세라믹 바디로서, 상기 모놀리식 세라믹 바디는
    균일하게 이격된 제 1 위치들의 상기 하부 표면 내 제 1 가스 유출구들로서, 상기 제 1 가스 유출구들은 상기 제 1 가스 유출구들과 제 1 가스 유입구들을 연결하는, 수직으로 연장하는 쓰루 홀들 (through holes) 의 제 1 세트에 의해 상기 상부 표면 내 상기 제 1 가스 유입구들과 유체로 연통하는, 상기 제 1 가스 유출구들; 및
    상기 제 1 위치들과 인접한 균일하게 이격된 제 2 위치들의 상기 하부 표면 내 제 2 가스 유출구들로서, 상기 제 2 가스 유출구들은 내측 플레넘 (plenum) 과 상기 제 2 가스 유출구들을 연결하는 수직으로 연장하는 쓰루 홀들의 제 2 세트에 의해 상기 모놀리식 세라믹 바디의 상기 내측 플레넘과 유체로 연통하고, 상기 내측 플레넘은 상기 상부 표면의 중심 부분에 위치된 제 2 가스 유입구와 유체로 연통하는, 상기 제 2 가스 유출구들을 포함하고, 상기 제 1 가스 유입구 및 상기 제 1 가스 유출구는 상기 제 2 가스 유입구 및 상기 제 2 가스 유출구로부터 각각 격리된, 상기 모놀리식 세라믹 바디;
    내측 상부 벽, 내측 하부 벽, 내측 외측 벽, 및 상기 모놀리식 세라믹 바디 내부에 일체로 형성되고 그리고 상기 내측 상부 벽과 상기 내측 하부 벽 사이에서 연장하는 필라들 (pillars) 의 세트에 의해 규정된, 상기 내측 플레넘;
    상기 필라들 중 각각의 필라를 통과하는, 상기 수직으로 연장하는 쓰루 홀들의 제 1 세트의 쓰루 홀 각각; 및
    상기 모놀리식 세라믹 바디의 상기 상부 표면 내로 연장하는 중심부 가스 전달 어셈블리로서, 상기 중심부 가스 전달 어셈블리는 제 1 가스를 공급하기 위한 외측 도관, 및 상기 외측 도관에 의해 둘러싸인, 제 2 가스를 공급하기 위한 내측 도관을 포함하고, 상기 제 1 가스 및 상기 제 2 가스는 상기 가스 분배 플레이트의 별개의 내측면 상에서 실질적으로 동시에 그리고 서로 독립적으로 전달되고, 상기 제 1 가스 및 상기 제 2 가스는 상기 가스 분배 플레이트 아래에 위치된 상기 화학적 증착 장치 내의 반응 존 내로 도입될 때까지 혼합되지 않는, 상기 중심부 가스 전달 어셈블리;
    상기 모놀리식 세라믹 바디 내 임베디드 (embedded) 평면 전극으로서, 상기 평면 전극은 상기 평면 전극이 상기 수직으로 연장하는 쓰루 홀들의 제 1 세트 및 상기 수직으로 연장하는 쓰루 홀들의 제 2 세트를 통과하는 가스들에 노출되지 않도록, 상기 수직으로 연장하는 쓰루 홀들의 제 1 세트의 위치들 및 상기 수직으로 연장하는 쓰루 홀들의 제 2 세트의 위치들에서 갭들을 갖는, 상기 평면 전극; 및
    상기 외측 원통형 표면 주위에 배열되고 그리고 상기 임베디드된 평면 전극에 무선 주파수 (radio-frequency) 전력을 전도하도록 구성된 전기적으로 전도성 비아들을 포함하는, 모놀리식 세라믹 가스 분배 플레이트.
  2. 제 1 항에 있어서,
    상기 상부 표면 내의 환형 홈 (annular groove) 을 더 포함하고, 상기 환형 홈은 상기 제 2 가스 유입구를 둘러싸는, 모놀리식 세라믹 가스 분배 플레이트.
  3. 제 1 항에 있어서,
    상기 필라들은 동일한 직경을 갖는 원통형 필라들이고, 상기 수직으로 연장하는 쓰루 홀들의 제 1 세트 각각은 상기 필라의 상기 직경보다 3 내지 5 배 작거나 상기 필라의 상기 직경보다 6 내지 10 배 작은 직경을 갖는, 모놀리식 세라믹 가스 분배 플레이트.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 필라들은 동일한 직경을 갖는 원통형 필라들이고, 상기 필라들은 상기 수직으로 연장하는 쓰루 홀들의 제 2 세트의 동심 열들 (concentric rows) 에 의해 분리된 동심 열들 내에 배치된, 모놀리식 세라믹 가스 분배 플레이트.
  6. 제 1 항에 있어서,
    상기 상부 표면 및 상기 하부 표면은 평면 표면들이고 상기 필라들은 동일한 직경을 갖는 원통형 필라들이며, 상기 내측 플레넘은 상기 필라들의 상기 직경과 동일한 높이를 갖는, 모놀리식 세라믹 가스 분배 플레이트.
  7. 제 1 항에 있어서,
    상기 내측 플레넘 아래 임베디드 전극, 및 상기 모놀리식 세라믹 바디의 외측 주변부와 상기 제 1 가스 유출구들의 최외측 열 사이에 원주로 이격된 위치들에서 상기 임베디드 전극으로부터 상향으로 연장하는 전기적으로 전도성인 비아들을 더 포함하는, 모놀리식 세라믹 가스 분배 플레이트.
  8. 제 1 항에 있어서,
    상기 하부 표면을 둘러싸는 환형 리세스 (recess) 를 더 포함하고, 상기 환형 리세스는 상기 모놀리식 세라믹 바디의 두께보다 작은 거리로 상기 모놀리식 세라믹 바디의 외측 주변부로부터 내측으로 연장하는, 모놀리식 세라믹 가스 분배 플레이트.
  9. 제 1 항에 기재된 가스 분배 플레이트 및 가스 전달 어셈블리를 포함하는 샤워헤드 모듈에 있어서,
    상기 샤워헤드 모듈은 상기 가스 전달 어셈블리의 스템이 상기 샤워헤드 모듈의 하부 플레이트에서 중심부 구멍 (bore) 을 통해 연장하도록 상기 가스 전달 어셈블리를 지지하는 상단 플레이트를 포함하고, 상기 가스 분배 어셈블리는 상기 내측 플레넘과 유체로 연통하는 중심에 위치한 내측 가스 도관, 및 상기 하부 플레이트의 하부 표면과 상기 모놀리식 세라믹 바디의 상기 상부 표면 사이의 상부 플레넘과 유체로 연통하는 적어도 하나의 외측 가스 도관을 포함하는, 가스 분배 플레이트 및 가스 전달 어셈블리를 포함하는 샤워헤드 모듈.
  10. 제 9 항에 있어서,
    상기 가스 전달 어셈블리의 상기 스템의 하부 단부는 상기 하부 플레이트의 상기 하부 표면 아래로 연장하는 관형 연장부를 포함하고, 환형 시일 (seal) 은 상기 적어도 하나의 외측 가스 도관을 통해 전달된 가스로부터 상기 중심에 위치한 내측 가스 도관을 통해 전달된 가스를 고립시키기 위해 상기 관형 연장부의 일 단부와 상기 모놀리식 세라믹 바디의 상기 상부 표면 사이에 위치되는, 가스 분배 플레이트 및 가스 전달 어셈블리를 포함하는 샤워헤드 모듈.
  11. 제 10 항에 있어서,
    상기 하부 플레이트는 상기 상부 플레넘과 유체로 연통하는 환형 갭에 의해 상기 관형 연장부로부터 외측으로 이격된 중심부 구멍을 포함하고, 상기 하부 플레이트의 상부 표면 내 환형 홈의 환형 시일은 상기 스템의 상기 하부 단부에 대해 시일되는, 가스 분배 플레이트 및 가스 전달 어셈블리를 포함하는 샤워헤드 모듈.
  12. 제 9 항에 있어서,
    상기 가스 전달 어셈블리는 상기 샤워헤드 모듈의 상기 상단 플레이트에 부착된 외측으로 연장하는 마운팅 플랜지 (mounting flange), 상기 스템의 상부 단부의 상부 가스 연결 플랜지를 포함하고, 상기 가스 연결 플랜지는 상부 표면에 환형 리세스를 포함하며 상기 적어도 하나의 외측 가스 도관은 상기 환형 리세스에 유입구들이 있는 여섯 개의 원주로 이격된 외측 가스 도관들을 포함하는, 가스 분배 플레이트 및 가스 전달 어셈블리를 포함하는 샤워헤드 모듈.
  13. 제 1 항에 기재된 가스 분배 플레이트를 제작하는 방법에 있어서,
    상기 제 1 세라믹 그린 시트 (green sheet) 에 상기 수직으로 연장하는 쓰루 홀들의 제 2 세트를 머시닝 (machining) 하는 단계;
    상기 제 1 세라믹 그린 시트의 상부 표면 상에 임베디드 전극을 프린팅하는 단계;
    상기 제 1 세라믹 그린 시트에 제 2 세라믹 그린 시트를 오버레이 (overlaying) 하는 단계;
    상기 제 2 세라믹 그린 시트에 상기 내측 플레넘과 필라들을 머시닝하는 단계;
    상기 제 2 세라믹 그린 시트에 제 3 세라믹 그린 시트를 오버레이하는 단계;
    상기 수직으로 연장하는 쓰루 홀들의 제 1 세트의 쓰루 홀 각각이 상기 필라들 중 각각의 필라를 통과하도록 상기 제 1 세라믹 그린 시트, 상기 제 2 세라믹 그린 시트 및 상기 제 3 세라믹 그린 시트에 상기 쓰루 홀들의 제 1 세트를 머시닝하는 단계; 및
    상기 모놀리식 세라믹 가스 분배 플레이트를 형성하기 위해 상기 세라믹 그린 시트들을 소결 (sintering) 하는 단계를 포함하는, 가스 분배 플레이트를 제작하는 방법.
  14. 제 13 항에 있어서,
    상기 임베디드 전극은 상기 모놀리식 세라믹 바디의 열 팽창 계수와 매칭하는 열 팽창 계수를 갖는 재료로 이루어지는, 가스 분배 플레이트를 제작하는 방법.
  15. 제 13 항에 있어서,
    상기 임베디드 전극은 몰리브덴 및/또는 텅스텐으로 이루어지는, 가스 분배 플레이트를 제작하는 방법.
  16. 제 13 항에 있어서,
    상기 세라믹 그린 시트들은 알루미늄 나이트라이드 (AlN), 알루미늄 옥사이드 (Al2O3), 실리콘 나이트라이드 (Si3N4), 이트륨 옥사이드 (Y2O3), 지르코늄 옥사이드 (ZrO2), 및 이들의 합성물들로 구성된 그룹으로부터 선택된 재료로 이루어지는, 가스 분배 플레이트를 제작하는 방법.
  17. 제 13 항에 있어서,
    상기 제 3 세라믹 그린 시트의 상부 표면에 가스 유입구 및 환형 홈을 머시닝하는 단계를 더 포함하는, 가스 분배 플레이트를 제작하는 방법.
  18. 제 13 항에 있어서,
    상기 제 3 세라믹 그린 시트의 외측 주변부와 상기 제 1 가스 유출구들의 최외측 열 사이에 원주로 이격된 위치들에서 상기 제 3 세라믹 그린 시트의 비아들을 머시닝하는 단계; 및 상기 임베디드 전극에 전기적 접속을 제공하는 전기적으로 전도성인 재료로 상기 비아들 각각을 적어도 부분적으로 충진하는 단계를 더 포함하는, 가스 분배 플레이트를 제작하는 방법.
  19. 제 18 항에 있어서,
    상기 비아들은 리세스들이 상기 모놀리식 세라믹 바디의 상기 상부 표면 내로 연장하도록 부분적으로 충진되는, 가스 분배 플레이트를 제작하는 방법.
  20. 제 13 항에 있어서,
    환형 리세스가 상기 모놀리식 세라믹 바디의 두께보다 작은 거리로 상기 모놀리식 세라믹 바디의 외측 주변부로부터 내측으로 연장하도록 상기 하부 표면을 둘러싸는 상기 환형 리세스를 형성하는 단계, 및 가스 유입구가 상기 내측 플레넘과 유체로 연통하도록 상기 제 3 세라믹 그린 시트의 중심 부분에 상기 가스 유입구를 머시닝하는 단계를 더 포함하는, 가스 분배 플레이트를 제작하는 방법.
KR1020207005901A 2017-07-28 2018-07-26 모놀리식 (monolithic) 세라믹 가스 분배 플레이트 KR102584684B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/662,869 2017-07-28
US15/662,869 US20190032211A1 (en) 2017-07-28 2017-07-28 Monolithic ceramic gas distribution plate
PCT/US2018/043843 WO2019023429A2 (en) 2017-07-28 2018-07-26 MONOLITHIC CERAMIC GAS DISTRIBUTION PLATE

Publications (2)

Publication Number Publication Date
KR20200024364A KR20200024364A (ko) 2020-03-06
KR102584684B1 true KR102584684B1 (ko) 2023-10-04

Family

ID=65040888

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207005901A KR102584684B1 (ko) 2017-07-28 2018-07-26 모놀리식 (monolithic) 세라믹 가스 분배 플레이트

Country Status (5)

Country Link
US (1) US20190032211A1 (ko)
JP (1) JP7292256B2 (ko)
KR (1) KR102584684B1 (ko)
CN (1) CN110998816B (ko)
WO (1) WO2019023429A2 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021146099A1 (en) * 2020-01-13 2021-07-22 Lam Research Corporation Multizone gas distribution plate for trench profile optimization
CN111243933A (zh) * 2020-02-18 2020-06-05 信利(仁寿)高端显示科技有限公司 一种干法刻蚀设备的上部电极及干法刻蚀设备
US11479859B2 (en) * 2020-04-09 2022-10-25 Applied Materials, Inc. High temperature vacuum seal
US20230011938A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Shaped showerhead for edge plasma modulation
KR20230037188A (ko) * 2021-09-09 2023-03-16 주성엔지니어링(주) 기판처리장치
CN114420526B (zh) * 2022-01-18 2023-09-12 江苏天芯微半导体设备有限公司 一种衬套及晶圆预处理装置
CN116875961A (zh) * 2023-09-01 2023-10-13 上海陛通半导体能源科技股份有限公司 原子层沉积设备

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US6407022B1 (en) * 1998-04-29 2002-06-18 The Ohio State University Research Foundation Method for fabricating shaped monolithic ceramics
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
WO2004114728A1 (ja) * 2003-06-20 2004-12-29 Ngk Insulators, Ltd. プラズマ発生電極及びプラズマ発生装置、並びに排気ガス浄化装置
WO2005009919A1 (ja) * 2003-07-29 2005-02-03 Kyocera Corporation Y2o3質焼結体、耐食性部材およびその製造方法並びに半導体・液晶製造装置用部材
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP2009016782A (ja) * 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP4586831B2 (ja) * 2007-08-08 2010-11-24 Tdk株式会社 セラミックグリーンシート構造、及び、積層セラミック電子部品の製造方法
JP2011500961A (ja) * 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
JP4590597B2 (ja) * 2008-03-12 2010-12-01 国立大学法人東北大学 シャワープレートの製造方法
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
CN103403843B (zh) * 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
CN102953050B (zh) * 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US20140217882A1 (en) 2011-08-29 2014-08-07 Kyocera Corporation Plasma generator and plasma generating device
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
CN103388132B (zh) 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10170282B2 (en) * 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
JP2015095551A (ja) * 2013-11-12 2015-05-18 東京エレクトロン株式会社 シャワーヘッドアセンブリ及びプラズマ処理装置
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10557197B2 (en) * 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US9905400B2 (en) * 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
US10217614B2 (en) 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
KR101698433B1 (ko) * 2015-04-30 2017-01-20 주식회사 에이씨엔 기상식각 및 세정을 위한 플라즈마 장치
US10118263B2 (en) * 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers

Also Published As

Publication number Publication date
CN110998816A (zh) 2020-04-10
WO2019023429A3 (en) 2019-02-28
KR20200024364A (ko) 2020-03-06
JP7292256B2 (ja) 2023-06-16
JP2020529124A (ja) 2020-10-01
WO2019023429A2 (en) 2019-01-31
US20190032211A1 (en) 2019-01-31
TW201920753A (zh) 2019-06-01
CN110998816B (zh) 2023-12-01

Similar Documents

Publication Publication Date Title
KR102584684B1 (ko) 모놀리식 (monolithic) 세라믹 가스 분배 플레이트
US20240112893A1 (en) Multi-plate electrostatic chucks with ceramic baseplates
TWI785055B (zh) 使用於半導體處理中之靜電夾頭
TWI616949B (zh) 混成陶瓷噴淋頭
US10366865B2 (en) Gas distribution system for ceramic showerhead of plasma etch reactor
US9934979B2 (en) Gas distribution showerhead for inductively coupled plasma etch reactor
CN110337714B (zh) 一种衬底支撑件和衬底处理系统
KR20170074755A (ko) 샤워헤드 어셈블리
TW201842620A (zh) 具有改良的處理均勻性之基板支撐件
CN112262464A (zh) 包括具有经冷却的面板的喷头的衬底处理室
US11133211B2 (en) Ceramic baseplate with channels having non-square corners
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
TWI835740B (zh) 單片陶瓷氣體分配板
KR20220142498A (ko) 열 확산기를 갖는 고온 기판 지지부
JP2024069333A (ja) セラミックベースプレートを備えるマルチプレート静電チャック

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant