TWI835740B - 單片陶瓷氣體分配板 - Google Patents

單片陶瓷氣體分配板 Download PDF

Info

Publication number
TWI835740B
TWI835740B TW107125831A TW107125831A TWI835740B TW I835740 B TWI835740 B TW I835740B TW 107125831 A TW107125831 A TW 107125831A TW 107125831 A TW107125831 A TW 107125831A TW I835740 B TWI835740 B TW I835740B
Authority
TW
Taiwan
Prior art keywords
gas
distribution plate
monolithic ceramic
holes
gas distribution
Prior art date
Application number
TW107125831A
Other languages
English (en)
Other versions
TW201920753A (zh
Inventor
傑里米 塔克
蘭奇玄 拉烏 林甘帕奇
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201920753A publication Critical patent/TW201920753A/zh
Application granted granted Critical
Publication of TWI835740B publication Critical patent/TWI835740B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/66Monolithic refractories or refractory mortars, including those whether or not containing clay
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/343Alumina or aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/345Refractory metal oxides
    • C04B2237/348Zirconia, hafnia, zirconates or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • C04B2237/366Aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • C04B2237/368Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/50Processing aspects relating to ceramic laminates or to the joining of ceramic articles with other articles by heating
    • C04B2237/62Forming laminates or joined articles comprising holes, channels or other types of openings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種使用於半導體基板可在其中受處理的處理腔室中之單片陶瓷氣體分配板包含一單片陶瓷本體,其具有一上表面、一下表面、及在該上表面與該下表面之間延伸的一外部圓柱面。該下表面在均勻間隔之第一位置處包含第一出氣口,且該等第一出氣口係透過第一組垂直延伸通孔而與該上表面中的第一進氣口流體連通,該第一組垂直延伸通孔使該等第一進氣口與該等第一出氣口連接。該下表面在鄰近該等第一位置之均勻間隔的第二位置處亦包含第二出氣口,且該等第二出氣口係透過第二組垂直延伸通孔而與該單片陶瓷本體中的內充氣部流體連通,該第二組垂直延伸通孔使該等第二出氣口與該內充氣部連接。該內充氣部係與位在該上表面之中心部分中的第二進氣口流體連通,且該內充氣部係由一內部上壁、一內部下壁、一內部外壁、及一組柱體所界定,該組柱體在該內部上壁與該內部下壁之間延伸。該第一組垂直延伸通孔的各通孔穿過該等柱體之相應者以將該第一與第二氣體隔離。

Description

單片陶瓷氣體分配板
本發明係關於單片陶瓷氣體分配板。
噴淋頭組件常用於半導體加工模組中,以在沉積、蝕刻、或其他製程期間將處理氣體分配於晶圓或基板之表面各處。某些製程使用順序式氣體輸送以在第一與第二氣體供應之間交替。
某些半導體加工方法需要使用不應與彼此相接觸的處理氣體。雖然存在將處理氣體隔離直到其被導入半導體基板受處理之反應空間為止的氣體輸送系統,但此等系統可能無法提供在基板各處的氣體均勻分配。因此,需要經改善之氣體輸送系統,其可隔離處理氣體並將氣體均勻地導入於基板各處。
揭示一種包含嵌入式電極的單片陶瓷氣體分配板。下文及整個本申請案中描述此噴淋頭的各種實施例。應理解,以下所討論之實施例不應被視為將本揭示內容僅限制於所示實施例。相反地,與本文概述之原理及概念一致的其他實施例亦可落於本揭示內容之範圍內。
在一實施例中,一種使用於半導體基板可在其中受處理的處理腔室中之單片陶瓷氣體分配板包含一單片陶瓷本體,其具有一上表面、一下表面、及在該上表面與該下表面之間延伸的一外部圓柱面。該下表面在均勻間隔之第一位置處包含第一出氣口,且該等第一出氣口係透過第一組垂直延伸通孔而與該上表面中的第一進氣口流體連通,該第一組垂直延伸通孔使該等第一進氣口與該等第一出氣口連接。該下表面在鄰近該等第一位置之均勻間隔的第二位置處亦包含第二出氣口,且該等第二出氣口係透過第二組垂直延伸通孔而與該單片陶瓷本體中的內充氣部流體連通,該第二組垂直延伸通孔使該等第二出氣口與該內充氣部連接。該內充氣部係與位在該上表面之中心部分中的第二進氣口流體連通,且該內充氣部係由一內部上壁、一內部下壁、一內部外壁、及一組柱體所界定,該組柱體在該內部上壁與該內部下壁之間延伸。該第一組垂直延伸通孔的各通孔穿過該等柱體之相應者。
上述之單片陶瓷氣體分配板中,該上表面可包含一環狀凹槽,該環狀凹槽環繞該第二進氣口。
上述之單片陶瓷氣體分配板中,該第一組垂直延伸通孔之各者可具有比該等柱體之直徑小約3至約5倍的直徑、或比該等柱體之直徑小約6至約10倍的直徑。
上述之單片陶瓷氣體分配板中,一平面電極可被嵌入於該單片陶瓷本體中。該平面電極中在該第一組垂直延伸通孔之位置處及該第二組垂直延伸通孔之位置處可具有間隙,配置該等間隙以使該平面電極不會暴露於通過該第一與第二組垂直延伸通孔的氣體。
上述之單片陶瓷氣體分配板中,該等柱體可為具有相同直徑的圓柱形柱體,及/或該等圓柱形柱體可係設置於由該第二組垂直延伸通孔之同心列所分隔的同心列中。
上述之單片陶瓷氣體分配板中,該等柱體可為具有相同直徑的圓柱形柱體,且該內充氣部可具有約等於該等柱體之直徑的高度。
上述之單片陶瓷氣體分配板中,嵌入式電極可位在該內充氣部下方,且導電貫孔可在該單片陶瓷本體的外周與該等第一出氣口的最外列之間的圓周上間隔位置處由該嵌入式電極之外部向上延伸。
上述之單片陶瓷氣體分配板中,該下表面可包含一環狀凹部,該環狀凹部由該單片陶瓷本體之外周向內延伸一距離,該距離小於該單片陶瓷本體之厚度。
依據本揭示內容的氣體分配板(本文亦稱為「面板」)分配氣體且作為電容耦合電漿(CCP)處理中的電極。氣體分配板包含陶瓷本體。在一些範例中,可使用氮化鋁(AlN)、氧化鋁(Al2 O3 )、氮化矽(Si3 N4 )、氧化釔(Y2 O3 )、氧化鋯(ZrO2 )、及由其構成的複合物。僅舉例而言,鋁酸鋯或鋁酸釔可用於提供對於氟的高耐蝕性。氣體分配板包含用於氣體分配的通孔、及嵌入式電極。在一些範例中,導電貫孔係設置於面板的外直徑周圍,以將射頻(RF)功率傳導至嵌入式電極。
在一些範例中,電極及貫孔係由熱膨脹係數(CTE)與陶瓷的CTE緊密匹配的金屬製成。在一些範例中,可使用鉬、鎢、或其他合適的金屬或金屬合金。在PECVD(電漿輔助化學氣相沈積)或PEALD(電漿輔助原子層沈積)反應器中,氣體分配板係作為RF供電的電極以產生電容耦合電漿(CCP)。
陶瓷的使用容許面板被用於高溫環境中。該氣體分配板解決高溫的PECVD或PEALD反應器的問題,該等反應器需要氣體分配板在CCP電路中作為經供電的電極。陶瓷亦使氣體分配板耐受大多數的氣體化學物及電漿。在一些範例中,氣體分配板係用在操作於400 °C至1100 °C之間的溫度下、及/或使用腐蝕性氣體化學物的CCP反應器中。或者,該氣體分配板可用於任何PECVD CCP反應器中以作為一電極、或用於任何CVD反應器中以作為一氣體分配板。
現參照圖1,顯示處理腔室100之範例。處理腔室100包含氣體分配裝置112,其係設置靠近於基板支座114。在一些範例中,可將處理腔室100設置於另一處理腔室的內部。底座可用於將基板支座114抬升至定位,以產生一微處理空間。氣體分配裝置112包括面板124、及包含許多孔穴的上部120,該等孔洞係用於輸送處理氣體及清除氣體、及/或用於移除排氣氣體,如以下進一步說明。
在一些範例中,面板124係由諸如氮化鋁之非導電性陶瓷材料所製成。面板124包含一陶瓷本體,該陶瓷本體具有第一表面126、第二表面127(其在第一表面的反側、且在使用期間面向基板)、側表面128、及孔洞130(其由第一表面126延伸至第二表面127)。面板124可位於隔離體132上。在一些範例中,隔離體132可由Al2 O3 或其他合適材料所製成。面板124可包含嵌入式電極138。在一些範例中,基板支座114為接地或浮動的,且面板124係連接至電漿產生器142。電漿產生器142包含RF源146、及匹配與分配電路148。
在圖1之範例中,上部120可包含界定第一孔穴156的中心區域152。在一些範例中,中心區域152係由Al2 O3 或其他合適的材料所製成。可設置氣體輸送系統160以將一或更多處理氣體、清除氣體等供應至處理腔室100。氣體輸送系統160可包含一或更多氣體源164,該等氣體源164係與相對應的質量流量控制器(MFC)166、閥170、及歧管172流體連通。歧管172係與第一孔穴156流體連通。氣體輸送系統計量流至歧管172之氣體混合物的輸送,該氣體混合物包含一或更多處理氣體。該等處理氣體可在輸送至處理腔室100之前在歧管172中加以混合。如以下說明,面板124可具有兩組氣體出口,以用於彼此獨立地輸送兩種不同的氣體化學物。
上部120亦包含設置在中心區域152周圍的徑向外部區域180。該徑向外部區域180可包含一或多個層182-1、182-2、…、及182-N(統稱為層182),其中N係大於0的整數。在圖1的範例中,徑向外部區域180包含N=3的層182,該等層182定義排氣及氣簾孔穴,但可使用額外或較少的層。中心區域152及徑向外部區域180係相對於面板124以一間隔關係加以配置以定義第二孔穴190。處理氣體從氣體輸送系統160流經第一孔穴156至第二孔穴190。第二孔穴190中的處理氣體流經面板124中的第一複數孔洞130以在整個基板均勻地分配處理氣體,該基板係設置於基板支座114上。在一些範例中,基板支座114係經加熱的。
可設置一或多個環狀密封部以將第二孔穴190的不同部分分開。在一些範例中,環狀密封部係鍍鎳的環狀密封部。例如:可分別提供第一及第二環狀密封部204和208,以定義分別在第二孔穴190的供應部210、第二孔穴190的排氣部212、及氣簾部214之間的邊界。可透過氣體源270及閥272將清除氣體供應至氣簾部214。
在此範例中,第一環狀密封部204定義在供應部210及排氣部212之間的邊界。可設置第三環狀密封部220(隨同第二環狀密封部208)以定義第二孔穴190的氣簾部214。在此範例中,第二環狀密封部208定義在第二孔穴190之排氣部212及氣簾部214之間的邊界。該第一、第二、及第三環狀密封部204、208、及220可分別包含環狀金屬密封部。
徑向外部區域180進一步定義排氣入口240及排氣孔穴242,該排氣入口240及排氣孔穴242從第二孔穴190的排氣部212接收排氣氣體。閥250及泵浦252可用以抽空排氣部212。徑向外部區域180亦定義氣簾孔穴260及氣簾出口262,該氣簾孔穴260及氣簾出口262供應清除氣體至第二孔穴190的氣簾部214。氣體源270及閥272可用以控制供應至氣簾的清除氣體。
第三環狀密封部220亦可設置一電連接,該電連接將電漿產生器142與埋入面板124的電極138連接,但可使用其他連接電極138的方法。
控制器280可用於利用感測器監視系統參數,及控制氣體輸送系統160、電漿產生器142、及製程的其他元件。
圖2顯示噴淋頭模組300的橫剖面,其中氣體輸送組件400可供應第一氣體通過位在中心的內管道402、及供應第二氣體通過圍繞內管道402的一或更多外管道404。氣體輸送組件400的上端包含諸如金屬C型環或O型環的內密封部406及外密封部408,以隔離第一與第二氣體。氣體輸送組件400的下端包含諸如金屬C型環或O型環的外密封部410,該外密封部410對噴淋頭模組300之下板302密封,以使流過一或更多外管道404的第二氣體進入下板中的中心搪孔304。氣體輸送組件400的下端包含中心管狀延伸部412,其係經由諸如金屬C型環或O型環的內密封部416而對面板500之上表面密封。如以下更加詳細說明,第二氣體流入下板302之下表面與面板500之上表面之間的第一充氣部(上充氣部)414,而第一氣體流入面板500中的第二充氣部(內充氣部)502。因此,半導體基板之處理期間,第一與第二氣體在被供應進入面板500下方的反應區504時可與彼此隔離。
可藉由以諸如螺栓之適當的緊固件420使安裝凸緣418附著於頂板306,而將氣體輸送組件400裝設於噴淋頭模組300之頂板306上。氣體輸送組件400包含陶瓷材料(例如單件的氧化鋁)的上部氣體連接凸緣422及下桿部424。內管道402可具有任何適當的直徑,例如0.2至0.3英吋,較佳為約0.25英吋。(複數)外管道404可包含圓周上相間隔的六個外管道404,該六個外管道404具有相同的直徑,例如0.1至0.2英吋,較佳為約0.15英吋。六個外管道404可位在圍繞上部管狀延伸部428的環狀凹部426中,其中內密封部406係被支撐於該上部管狀延伸部428上。
頂板306可包含連接至中板310中之一或更多孔穴308的一或更多管道,其中該一或更多孔穴308係用以供應氣體或從反應區504排空氣體。例如,可將外孔穴308連接至環繞頂板306的隔離體314中之外環的氣體通道312,以供應惰性氣體之簾幕,該惰性氣體簾幕在反應區504周圍建立氣體密封,如圖3所示。為排空氣體,隔離體可包含連接至孔穴318之內環的排氣氣體通道316,該等排氣氣體通道316將排氣氣體抽至排氣管線。
圖4顯示氣體輸送組件400之桿部424之管狀延伸部412與面板500之間的連接細節。如圖所示,內密封部416係位在面板500之上表面508中的環狀凹槽506中。延伸進入上表面508的中心搪孔510係與面板500中的內充氣部502流體連通,且在內充氣部502與面板500之下表面514之間延伸的第一氣體通道512容許:由氣體輸送組件400之內管道402所輸送的第一氣體被輸送至反應區504。
面板500包含從上表面508延伸至下表面514的第二氣體通道516。第二氣體通道516容許:由一或更多外管道404所輸送至面板500上方之上充氣部414的第二氣體被輸送至反應區504。為避免第一與第二氣體在到達反應區504之前相接觸,第二氣體通道516延伸通過圓柱形柱體518。柱體518使內充氣部502的容積最大化、並使遍及受處理之半導體基板的第一氣體的流動均勻性增加。面板500亦包含嵌入式電極520,其將RF能量耦合至反應區504中。在一實施例中,上與下表面508、514係平的表面,且嵌入式電極520係定向為與平面的上與下表面508、514平行的平面電極。
圖5顯示氣體輸送組件400之上端的細節。氣體輸送組件400包含氣體連接凸緣,其具有用於接收緊固件以連接適當之氣體供應部的六個搪孔,該氣體供應部對內管道402饋送第一氣體、且對六個外管道404饋送第二氣體。如圖6所示,氣體輸送組件400所具有之下端有以下特徵:六個外管道404之出口係在桿部424的下端平面,且內管道402係在管狀延伸部412中。
圖7為面板500的立體橫剖面,其中可看出,下表面514具有第一氣體通道512與第二氣體通道516之出口的均勻分佈。例如,可將氣體通道512之出口設置於同心列中,而可在介設於氣體通道512的列之間的同心列中設置氣體通道516之出口。面板亦包含連接至嵌入式電極520的導電貫孔522。例如,導電貫孔522可位在氣體通道512與516之最外列的外部,及/或導電貫孔522可延伸一部份或一路延伸至面板500的上表面。
圖8為面板500之外側部分的橫剖面。如圖所示,導電貫孔522從上表面508延伸至嵌入式電極520。嵌入式電極520較佳為在氣體通道512與516之位置處具有開口的連續式平板或柵格。導電貫孔522可位在無氣體通道512與516的環狀區域523中。或者,氣體通道512與516可擴展完全遍及面板500的下表面,且導電貫孔522可擴展至氣體通道512與516之一或更多最外列。
圖9為面板500在通過氣體通道516之位置處的立體橫剖面。如圖所示,氣體通道512係相對於氣體通道516而偏移,且在內充氣部502中僅可看到氣體通道512的入口。可以任何適當圖案設置(例如一連串的同心列)氣體通道516。同樣地,如圖10(其中未顯示面板500之頂部以更佳地顯示柱體518)所示,亦可以同心列圖案設置氣體通道512。
面板500之製造中,依需求而堆疊及加工生坯陶瓷片層,以提供面板500、導電貫孔522、內充氣部502、柱體518、氣體通道512與516、中心搪孔510、及環狀凹槽506。以上所示實施例中,陶瓷面板為實質上環狀的盤件、且具有夠大的直徑以處理300 mm或450 mm直徑之半導體晶圓。
如上所述,陶瓷面板500可包含嵌入式電極520、以及可電連接至接觸環上之支座支柱的接觸貫孔522,該支座支柱經由陶瓷面板500中之支座盲孔穿過陶瓷面板500、且可經由接觸貼片與嵌入式電極520電接觸。可使用(舉例而言)擴散接合或銅銲將嵌入式電極520在接觸貼片處熔合至支座。亦可使用建立一導電結合之其他等效熔合技術。接觸環上之支座可與接觸環各別地製造,並在之後將其結合至接觸環。例如,接觸環可包括經設計用於各自接收一支座支柱之一或更多孔洞特徵,接著將支座支柱固定至接觸環。支座支柱與接觸環之連接可為永久性的,例如熔合接合或銅銲,或者可為可回復的,例如螺紋式附接或擰緊。接觸環與支座可為RF電源或接地源提供到達該嵌入式電極520的一(或複數)導電路徑。為提供與鎢或鉬嵌入式電極相容的熱膨脹,接觸環可由鎢或鉬所製成。參見例如共同受讓的美國專利公開案第2012/0222815號,在此藉由參照將其揭示內容引入。
嵌入式電極520及單片陶瓷氣體分配板500可包含小氣體分配孔之圖案。在一實施例中,大約1000至3000個氣體分配孔可通過嵌入式電極520至單片陶瓷氣體分配板500之暴露表面。例如,陶瓷氣體分配板500中之氣體分配孔的直徑可為0.03英吋,而嵌入式電極520中之相應孔洞的直徑可為0.15英吋。亦可使用其他的氣體分配孔尺寸,例如,直徑在0.02英吋至0.06英吋之範圍內的尺寸。作為通則,嵌入式電極520中之孔洞的直徑比陶瓷氣體分配板500中之相應氣體分配孔大至少兩倍,然而嵌入式電極520中之孔洞的直徑較佳係比陶瓷氣體分配板500中之氣體分配孔大至少0.1英吋,以防止陶瓷層脫層並確保嵌入式電極520不會暴露於處理氣體或清潔氣體。
可以任何期望的配置方式來設置氣體分配孔512與516,包括柵格陣列、環形陣列、螺旋形、偏移螺旋形、六角形陣列等。氣體分配孔配置可導致在整個噴淋頭變化的孔密度。依據所期望之氣體流動,可在不同位置使用不同直徑之氣體分配孔。在一較佳實施例中,氣體分配孔皆為相同的標稱直徑及孔間距、且使用不同直徑之孔圓且以不同數目個孔而圖案化。
氣體分配孔512與516可具有一致的直徑、或在陶瓷氣體分配板500之厚度間變化的直徑。例如,氣體分配孔在面向下板302之陶瓷氣體分配板500之表面上可為第一直徑,且在氣體分配孔離開面向受處理之基板的暴露下表面514時可為第二直徑。該第一直徑可大於該第二直徑。不管氣體分配孔尺寸變化之可能性,嵌入式電極520中之孔洞可係相對於與嵌入式電極520在同一平面中所量測的陶瓷氣體分配板500中之氣體分配孔的直徑而定大小。
陶瓷面板500可係由氧化鋁(Al2 O3 )或氮化鋁(AlN)、氮化矽(Si3 N4 )或碳化矽製造。亦可使用呈現強耐受氟侵蝕性及在高溫(亦即,500℃至600℃)下之良好尺寸穩定性之其他材料。可能需要選擇所用特定陶瓷以避免與特定半導體處理應用中所用之處理氣體之間的化學反應。氮化硼(BN)及氮氧化鋁(AlON)為可用於此應用中之陶瓷之其他範例,然而此等材料可能因製造問題而對於實行上具有挑戰性。
嵌入式電極520以及通往嵌入式電極520之導電路徑之元件可(舉例而言)由鎢或鉬製造。可使用具有耐高溫性及具有相似於陶瓷面板材料之熱膨脹係數的熱膨脹係數之其他導電材料。可利用一保護性塗層(例如一鎳鍍層)塗佈可能未囊封於陶瓷氣體分配板500內之通往嵌入式電極520之導電路徑的部分,其可防止或減小因處理氣體暴露所致的對導電路徑之損壞。亦可使用其他保護性塗層,諸如在提高之溫度下保持其對腐蝕及氧化的耐受性之貴金屬(例如,金、鉑、鈀、或銥)塗層。
接觸環亦可由鎢或鉬所製造;接觸環通常可由與嵌入式電極接合相容、且具有相似熱膨脹特性之材料所製造。
可將單片陶瓷氣體分配板500裝設於腔室中以提供上充氣部(充氣部1),相比於從內充氣部502(充氣部2)被輸送通過較短的氣體通道512的氣體,上充氣部(充氣部1)輸送氣體通過較長的氣體通道516。面板500可係透過薄帶成形層板製造技術而製成,且可在生坯狀態下加工諸如支柱(柱體518)及環狀凹槽506之大部分結構特徵。上充氣部(充氣部1)可不具有擋板,以容許從外氣體管道404所輸送之氣體在上充氣部414(充氣部1)中不受限地流動、並通過長氣體通道516離開。相似地,由內管道402所輸送之氣體可自由地流動通過內充氣部502(充氣部2)、並通過短氣體通道512離開。長氣體通道516的數目可大於短氣體通道512,以補償因長氣體通道516所致的較高壓降。例如,陶瓷氣體分配板500可具有約910至930個短氣體通道512及約960至980個長氣體通道516。可將長氣體通道516設置於同心圓形列上,例如15至20列的孔洞。相似地,可將短氣體通道512設置於同心圓形列上,例如與長氣體通道516之列交錯的15至20列的孔洞。較佳的情況下,長氣體通道516係以相同於短氣體通道512的列數設置,且長與短氣體通道512、516的孔洞之間的徑向間距係相同的。內充氣部502較佳係具有約0.1英吋以下的短小高度及約200 cc以下的總容積。在一實施例中,氣體通道512及516擴展至靠近於陶瓷氣體分配板500的外周,且用以供電至嵌入式電極520的六個導電貫孔522可位在擴展至氣體通道512及516之一或更多最外列的位置。
在ALD處理中,依序地供應不同的氣體化學物以進行給劑步驟之循環,接著進行轉化步驟。當陶瓷氣體分配板500使用於ALD時,可將給劑氣體供應至與較大數目之長氣體通道516流體連通的充氣部1(上充氣部414),且可將轉化氣體供應至與較小數目之短氣體通道512流體連通的充氣部2(內充氣部502)。
雖然本文已參照附圖而詳細描述本發明的若干實施例,但應理解,本發明並不限於該等實施例,且在不偏離由隨附之申請專利範圍所界定之本發明之精神範圍的情況下,熟習本技藝者可在其中實行許多變化及修改。
100‧‧‧處理腔室 112‧‧‧氣體分配裝置 114‧‧‧基板支座 120‧‧‧上部 124‧‧‧面板 126‧‧‧第一表面 127‧‧‧第二表面 128‧‧‧側表面 130‧‧‧孔洞 132‧‧‧隔離體 138‧‧‧電極 142‧‧‧電漿產生器 146‧‧‧RF源 148‧‧‧匹配與分配電路 152‧‧‧中心區域 156‧‧‧第一孔穴 160‧‧‧氣體輸送系統 164‧‧‧氣體源 166‧‧‧質量流量控制器 (MFC) 170‧‧‧閥 172‧‧‧歧管 180‧‧‧徑向外部區域 182‧‧‧層 182-1‧‧‧層 182-2‧‧‧層 182-3‧‧‧層 190‧‧‧第二孔穴 204‧‧‧第一環狀密封部 208‧‧‧第二環狀密封部 210‧‧‧供應部 212‧‧‧排氣部 214‧‧‧氣簾部 220‧‧‧第三環狀密封部 240‧‧‧排氣入口 242‧‧‧排氣孔穴 250‧‧‧閥 252‧‧‧泵浦 260‧‧‧氣簾孔穴 262‧‧‧氣簾出口 270‧‧‧氣體源 272‧‧‧閥 280‧‧‧控制器 300‧‧‧噴淋頭模組 302‧‧‧下板 304‧‧‧中心搪孔 306‧‧‧頂板 308‧‧‧孔穴 310‧‧‧中板 312‧‧‧氣體通道 314‧‧‧隔離體 316‧‧‧排氣氣體通道 400‧‧‧氣體輸送組件 402‧‧‧內管道 404‧‧‧外管道 406‧‧‧內密封部 408‧‧‧外密封部 410‧‧‧外密封部 412‧‧‧管狀延伸部 414‧‧‧第一充氣部 (上充氣部) 416‧‧‧內密封部 418‧‧‧安裝凸緣 420‧‧‧緊固件 422‧‧‧上部氣體連接凸緣 424‧‧‧桿部 426‧‧‧環狀凹部 428‧‧‧上部管狀延伸部 500‧‧‧面板/陶瓷氣體分配板 502‧‧‧第二充氣部 (內充氣部) 504‧‧‧反應區 506‧‧‧環狀凹槽 508‧‧‧上表面 510‧‧‧中心搪孔 512‧‧‧第一氣體通道 514‧‧‧下表面 516‧‧‧第二氣體通道 518‧‧‧柱體 520‧‧‧電極 522‧‧‧貫孔 523‧‧‧環狀區域
圖1描繪半導體處理腔室的橫剖面。
圖2描繪裝設於噴淋頭組件中之單片陶瓷氣體分配板的立體剖視圖。
圖3描繪圖2所示之噴淋頭組件的等角剖視圖。
圖4顯示圖2所示之噴淋頭組件之中心部分的立體剖視圖。
圖5描繪圖2所示之噴淋頭組件之氣體輸送組件的俯視立體圖。
圖6為圖5所示之氣體輸送組件的底視圖。
圖7描繪圖2所示之單片陶瓷氣體分配板之底部的立體剖視圖。
圖8描繪圖2所示之單片陶瓷氣體分配板之外側部分的橫剖面圖。
圖9描繪圖2所示之單片陶瓷氣體分配板之外側部分的立體剖視圖。
圖10描繪圖9所示之單片陶瓷氣體分配板經移除上層之外側部分的立體圖。
500‧‧‧面板/陶瓷氣體分配板
502‧‧‧第二充氣部(內充氣部)
508‧‧‧上表面
512‧‧‧第一氣體通道
516‧‧‧第二氣體通道
518‧‧‧柱體
520‧‧‧電極
522‧‧‧貫孔

Claims (19)

  1. 一種單片陶瓷氣體分配板,使用於半導體基板可在其中受處理的化學沉積設備中,該氣體分配板包含:一單片陶瓷本體,其具有一上表面、一下表面、及在該上表面與該下表面之間延伸的一外側部圓柱面;該單片陶瓷本體更包含:在該下表面中均勻間隔之第一位置處的第一出氣口,該等第一出氣口係透過第一組垂直延伸通孔而與該上表面中的第一進氣口流體連通,該第一組垂直延伸通孔使該等第一進氣口與該等第一出氣口連接;該單片陶瓷本體更包含:在該下表面中鄰近該等第一位置之均勻間隔的第二位置處的第二出氣口,該等第二出氣口係透過第二組垂直延伸通孔而與該單片陶瓷本體中的內充氣部流體連通,該第二組垂直延伸通孔使該等第二出氣口與該內充氣部連接,該內充氣部係與位在該上表面之中心部分中的第二進氣口流體連通,該等第一進氣口及該等第一出氣口係分別與該等第二進氣口及該等第二出氣口隔離;該內充氣部係由一內部上壁、一內部下壁、一內部外壁、及一組柱體所界定,該組柱體整合地形成於該單片陶瓷本體之內且在該內部上壁與該內部下壁之間延伸;該第一組垂直延伸通孔的各通孔穿過該等柱體之相應者;一中心氣體輸送組件,延伸進入該單片陶瓷本體之該上表面之中,該中心氣體輸送組件包含:一外管道以及一內管道,該外管道用於供給第一氣體,該內管道被該外管道環繞且用於輸送第二氣體,該第一氣體及該第二氣體將基本上同時地且與彼此獨立地輸送於該氣體分配板之分隔內表面上方,該第一氣體及該 第二氣體直到被導入位於該氣體分配板下方的該化學沉積設備內之一反應空間之內為止不加以混合;一平面電極,其係嵌入於該單片陶瓷本體中,該平面電極中在該第一組垂直延伸通孔之位置處及該第二組垂直延伸通孔之位置處具有間隙,以使該平面電極不會暴露於通過該第一與第二組垂直延伸通孔的氣體;以及導電貫孔,設置於該外側部圓柱面周圍,並且配置以將射頻功率傳導至嵌入式的該平面電極。
  2. 如申請專利範圍第1項之單片陶瓷氣體分配板,更包含在該上表面中的一環狀凹槽,該環狀凹槽環繞該第二進氣口。
  3. 如申請專利範圍第1項之單片陶瓷氣體分配板,其中該等柱體為具有相同直徑的圓柱形柱體,且該第一組垂直延伸通孔之各者具有比該等柱體之直徑小約3至約5倍的直徑、或比該等柱體之直徑小約6至約10倍的直徑。
  4. 如申請專利範圍第1項之單片陶瓷氣體分配板,其中該等柱體為具有相同直徑的圓柱形柱體,該等柱體係設置於由該第二組垂直延伸通孔之同心列所分隔的同心列中。
  5. 如申請專利範圍第1項之單片陶瓷氣體分配板,其中該上與下表面為平面,且該等柱體為具有相同直徑的圓柱形柱體,該內充氣部具有約等於該等柱體之直徑的高度。
  6. 如申請專利範圍第1項之單片陶瓷氣體分配板,更包含在該內充氣部下方的一嵌入式電極、以及導電貫孔,該等導電貫孔在該單片陶瓷本體的外周與該等第一出氣口的最外列之間的圓周上間隔位置處由該嵌入式電極向上延伸。
  7. 如申請專利範圍第1項之單片陶瓷氣體分配板,更包含環繞該下表面的一環狀凹部,該環狀凹部由該單片陶瓷本體之外周向內延伸一距離,該距離小於該單片陶瓷本體之厚度。
  8. 一種噴淋頭模組,其包含如申請專利範圍第1項之氣體分配板及一氣體輸送組件,該噴淋頭模組包含一頂板,該頂板支撐該氣體輸送組件,以使該氣體輸送組件之桿部延伸通過該噴淋頭模組之下板中的中心搪孔,該氣體分配板包含與該內充氣部流體連通的位在中心之內氣體管道、以及與一上充氣部流體連通的至少一外氣體管道,該上充氣部係在該下板的下表面與該單片陶瓷本體的該上表面之間。
  9. 如申請專利範圍第8項之噴淋頭模組,其中該氣體輸送組件之該桿部之下端包含延伸至該下板之該下表面下方的一管狀延伸部,並且一環狀密封部位在該管狀延伸部的一端與該單片陶瓷本體的該上表面之間,以將輸送通過位在中心之該內氣體管道的氣體與輸送通過該至少一外氣體管道的氣體相隔離。
  10. 如申請專利範圍第9項之噴淋頭模組,其中該下板包含一中心搪孔,該中心搪孔係從該管狀延伸部向外由一環狀間隙所隔開,該環狀間隙係與該上充氣部流體連通,且該下板之上表面中的環狀凹槽中的環狀密封部對該桿部之該下端密封。
  11. 如申請專利範圍第8項之噴淋頭模組,其中該氣體輸送組件包含附著於該噴淋頭模組之該頂板的向外延伸的安裝凸緣、及位在該桿部之上端的上部氣體連接凸緣,該氣體連接凸緣在其上表面中包含一環狀凹部,且該至少一外氣體管道包含在圓周上相間隔的六個外氣體管道、且其入口在該環狀凹部中。
  12. 一種用於製造如申請專利範圍第1項之氣體分配板的方法,其包含在第一陶瓷生坯片中加工該第二組垂直延伸通孔;將一嵌入式電極印刷於該第一陶瓷生坯片之上表面上;以第二陶瓷生坯片覆蓋該第一陶瓷生坯片;在該第二陶瓷生坯片中加工該內充氣部及柱體;以第三陶瓷生坯片覆蓋該第二陶瓷生坯片;在該第一、第二、與第三陶瓷生坯片中加工該第一組垂直延伸通孔,以使該第一組垂直延伸通孔之各者通過該等柱體之相應者;以及燒結該等陶瓷生坯片以形成該單片陶瓷氣體分配板。
  13. 如申請專利範圍第12項之用於製造如申請專利範圍第1項之氣體分配板的方法,其中該嵌入式電極係由具有與該單片陶瓷本體之熱膨脹係數匹配的熱膨脹係數之材料所製成。
  14. 如申請專利範圍第12項之用於製造如申請專利範圍第1項之氣體分配板的方法,其中該嵌入式電極係由鉬及/或鎢所製成。
  15. 如申請專利範圍第12項之用於製造如申請專利範圍第1項之氣體分配板的方法,其中該等陶瓷生坯片係以選自由下列各者所組成之群組的材料製成:氮化鋁(AlN)、氧化鋁(Al2O3)、氮化矽(Si3N4)、氧化釔(Y2O3)、氧化鋯(ZrO2)、及其複合物。
  16. 如申請專利範圍第12項之用於製造如申請專利範圍第1項之氣體分配板的方法,更包含在該第三陶瓷生坯片之上表面中加工一進氣口及一環狀凹槽。
  17. 如申請專利範圍第12項之用於製造如申請專利範圍第1項之氣體分配板的方法,更包含在該第三陶瓷生坯片中之該第三陶瓷生坯片的外周與該 等第一出氣口的最外列之間的圓周上間隔位置處加工貫孔,以及利用導電材料至少部分地填充該等貫孔之各者,該導電材料提供與該嵌入式電極的電連接。
  18. 如申請專利範圍第17項之用於製造如申請專利範圍第1項之氣體分配板的方法,其中該等貫孔係被部分地填充,以使凹部延伸進入該單片陶瓷本體之該上表面。
  19. 如申請專利範圍第12項之用於製造如申請專利範圍第1項之氣體分配板的方法,更包含形成環繞該下表面的環狀凹部以使該環狀凹部由該單片陶瓷本體之外周向內延伸小於該單片陶瓷本體之厚度的一距離,以及在該第三陶瓷生坯片之中心部分中加工一進氣口,以使該進氣口與該內充氣部流體連通。
TW107125831A 2017-07-28 2018-07-26 單片陶瓷氣體分配板 TWI835740B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/662,869 2017-07-28
US15/662,869 US20190032211A1 (en) 2017-07-28 2017-07-28 Monolithic ceramic gas distribution plate

Publications (2)

Publication Number Publication Date
TW201920753A TW201920753A (zh) 2019-06-01
TWI835740B true TWI835740B (zh) 2024-03-21

Family

ID=65040888

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107125831A TWI835740B (zh) 2017-07-28 2018-07-26 單片陶瓷氣體分配板

Country Status (6)

Country Link
US (1) US20190032211A1 (zh)
JP (1) JP7292256B2 (zh)
KR (1) KR102584684B1 (zh)
CN (1) CN110998816B (zh)
TW (1) TWI835740B (zh)
WO (1) WO2019023429A2 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2023512451A (ja) * 2020-01-13 2023-03-27 ラム リサーチ コーポレーション 溝の輪郭を最適化するために複数のゾーンを有するガス分配プレート
CN111243933A (zh) * 2020-02-18 2020-06-05 信利(仁寿)高端显示科技有限公司 一种干法刻蚀设备的上部电极及干法刻蚀设备
US11479859B2 (en) * 2020-04-09 2022-10-25 Applied Materials, Inc. High temperature vacuum seal
US20230011938A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Shaped showerhead for edge plasma modulation
KR20230037188A (ko) * 2021-09-09 2023-03-16 주성엔지니어링(주) 기판처리장치
CN116994936A (zh) * 2022-01-18 2023-11-03 江苏天芯微半导体设备有限公司 一种衬套及晶圆预处理装置
CN116875961A (zh) * 2023-09-01 2023-10-13 上海陛通半导体能源科技股份有限公司 原子层沉积设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI301294B (en) * 2004-04-12 2008-09-21 Applied Materials Inc Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
JP2015015466A (ja) * 2013-07-03 2015-01-22 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 複数プレナム/2温度シャワーヘッド
TWI484064B (zh) * 2012-05-11 2015-05-11
TW201634735A (zh) * 2014-10-17 2016-10-01 蘭姆研究公司 整體式氣體分配歧管及其種種施工技術與使用案例
TWI566295B (zh) * 2011-03-04 2017-01-11 諾菲勒斯系統公司 混成陶瓷噴淋頭
TW201713413A (zh) * 2015-05-26 2017-04-16 蘭姆研究公司 抗瞬變噴淋頭

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US6407022B1 (en) * 1998-04-29 2002-06-18 The Ohio State University Research Foundation Method for fabricating shaped monolithic ceramics
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
EP1638376A4 (en) * 2003-06-20 2008-04-02 Ngk Insulators Ltd PLASMA GENERATING ELECTRODE, PLASMA GENERATING DEVICE, AND EXHAUST GAS PURIFICATION APPARATUS
JP4679366B2 (ja) * 2003-07-29 2011-04-27 京セラ株式会社 Y2o3質焼結体、耐食性部材およびその製造方法並びに半導体・液晶製造装置用部材
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US20080236495A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP2009016782A (ja) * 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP4586831B2 (ja) * 2007-08-08 2010-11-24 Tdk株式会社 セラミックグリーンシート構造、及び、積層セラミック電子部品の製造方法
JP2011500961A (ja) * 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
JP4590597B2 (ja) * 2008-03-12 2010-12-01 国立大学法人東北大学 シャワープレートの製造方法
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
CN102953050B (zh) * 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US20140217882A1 (en) 2011-08-29 2014-08-07 Kyocera Corporation Plasma generator and plasma generating device
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10170282B2 (en) * 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
JP2015095551A (ja) * 2013-11-12 2015-05-18 東京エレクトロン株式会社 シャワーヘッドアセンブリ及びプラズマ処理装置
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9905400B2 (en) * 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
US10217614B2 (en) * 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
KR101698433B1 (ko) * 2015-04-30 2017-01-20 주식회사 에이씨엔 기상식각 및 세정을 위한 플라즈마 장치
US10118263B2 (en) * 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI301294B (en) * 2004-04-12 2008-09-21 Applied Materials Inc Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
TWI566295B (zh) * 2011-03-04 2017-01-11 諾菲勒斯系統公司 混成陶瓷噴淋頭
TWI484064B (zh) * 2012-05-11 2015-05-11
JP2015015466A (ja) * 2013-07-03 2015-01-22 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 複数プレナム/2温度シャワーヘッド
TW201634735A (zh) * 2014-10-17 2016-10-01 蘭姆研究公司 整體式氣體分配歧管及其種種施工技術與使用案例
TW201713413A (zh) * 2015-05-26 2017-04-16 蘭姆研究公司 抗瞬變噴淋頭

Also Published As

Publication number Publication date
WO2019023429A2 (en) 2019-01-31
TW201920753A (zh) 2019-06-01
WO2019023429A3 (en) 2019-02-28
KR20200024364A (ko) 2020-03-06
JP7292256B2 (ja) 2023-06-16
US20190032211A1 (en) 2019-01-31
KR102584684B1 (ko) 2023-10-04
JP2020529124A (ja) 2020-10-01
CN110998816A (zh) 2020-04-10
CN110998816B (zh) 2023-12-01

Similar Documents

Publication Publication Date Title
TWI835740B (zh) 單片陶瓷氣體分配板
US20240112893A1 (en) Multi-plate electrostatic chucks with ceramic baseplates
US11264213B2 (en) Chemical control features in wafer process equipment
JP2018160462A (ja) 温度制御機能を備えるマルチプレナムシャワーヘッド
US10366865B2 (en) Gas distribution system for ceramic showerhead of plasma etch reactor
TWI616949B (zh) 混成陶瓷噴淋頭
US9099398B2 (en) Gas distribution showerhead for inductively coupled plasma etch reactor
JP2020529124A5 (zh)
JP2014220231A5 (zh)
CN110337714B (zh) 一种衬底支撑件和衬底处理系统
US10157755B2 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US11133211B2 (en) Ceramic baseplate with channels having non-square corners
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法