JP7292256B2 - モノリシックセラミックガス分配プレート - Google Patents

モノリシックセラミックガス分配プレート Download PDF

Info

Publication number
JP7292256B2
JP7292256B2 JP2020503841A JP2020503841A JP7292256B2 JP 7292256 B2 JP7292256 B2 JP 7292256B2 JP 2020503841 A JP2020503841 A JP 2020503841A JP 2020503841 A JP2020503841 A JP 2020503841A JP 7292256 B2 JP7292256 B2 JP 7292256B2
Authority
JP
Japan
Prior art keywords
gas
monolithic ceramic
gas distribution
distribution plate
extending
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020503841A
Other languages
English (en)
Other versions
JP2020529124A (ja
JP2020529124A5 (ja
Inventor
タッカー・ジェレミー
リンガンパリ・ラムキシャン・ラオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020529124A publication Critical patent/JP2020529124A/ja
Publication of JP2020529124A5 publication Critical patent/JP2020529124A5/ja
Application granted granted Critical
Publication of JP7292256B2 publication Critical patent/JP7292256B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/66Monolithic refractories or refractory mortars, including those whether or not containing clay
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/343Alumina or aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/345Refractory metal oxides
    • C04B2237/348Zirconia, hafnia, zirconates or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • C04B2237/366Aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • C04B2237/368Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/50Processing aspects relating to ceramic laminates or to the joining of ceramic articles with other articles by heating
    • C04B2237/62Forming laminates or joined articles comprising holes, channels or other types of openings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

シャワーヘッドアセンブリは、半導体製造モジュールで頻繁に使用されて、堆積、エッチング、または他のプロセス中にプロセスガスをウェーハまたは基板の表面にわたって分配する。一部のプロセスでは、第1のガス供給と第2のガス供給との間で交互に切り替える連続的なガス供給が使用されている。
一部の半導体製造方法では、互いに接触すべきでないプロセスガスが使用される必要がある。半導体基板が処理される反応空間の中にプロセスガスが導入されるまで、プロセスガスを分離するガス供給システムがあるが、そのようなシステムは基板にわたってガスの均一な分布をもたらさない場合がある。したがって、プロセスガスを分離し、ガスを基板にわたって均一に導入できる、改良されたガス供給システムが必要である。
埋込み電極を含むモノリシックセラミックガス分配プレートが開示される。このようなシャワーヘッドの様々な実現形態について、以下および本出願の全体にわたって記載する。以下で論じる実現形態は、本開示を、示される実現形態のみに限定するものと見なすべきではないことを理解されたい。むしろ、本明細書で概説される原理および概念と一致する他の実現形態も本開示の範囲内に含まれ得る。
一実施形態では、半導体基板が処理され得るプロセスチャンバ内で使用するモノリシックセラミックガス分配プレートは、上面、下面、および上面と下面との間を延びる外側円筒面を有するモノリシックセラミック体を含む。下面は、均一に間隔を空けた第1の位置に第1のガス出口を含み、第1のガス出口は、上面の第1のガス入口に、第1のガス入口を第1のガス出口へと接続する垂直に延びる貫通穴の第1のセットによって流体連通している。下面は、第1の位置に隣接する均一に間隔を空けた第2の位置に第2のガス出口を含み、第2のガス出口は、モノリシックセラミック体内の内側プレナムに、第2のガス出口を内側プレナムに接続する垂直方向に延びる貫通穴の第2のセットによって流体連通している。内側プレナムは、上面の中央部分に位置する第2のガス入口と流体連通しており、内側プレナムは、内側上部壁、内側下部壁、内側外部壁、および内側上部壁と内側下部壁との間に延びる一組のピラーによって画定される。この実施形態では、垂直に延びる貫通穴の第1のセットの、貫通穴の各々が、ピラーのうちの対応する1つを貫通する。
上述のモノリシックセラミックガス分配プレートでは、上面は、第2のガス入口を取り囲む環状溝を含み得る。
上述のモノリシックセラミックガス分配プレートでは、垂直に延びる貫通穴の第1のセットの各々が、ピラーの直径の約3分の1から約5分の1、またはピラーの直径の約6分の1から約10分の1の直径を有し得る。
上述のモノリシックセラミックガス分配プレートでは、モノリシックセラミック体に平面電極を埋め込むことができる。垂直に延びる貫通穴の第1のセットの位置において、および垂直に延びる貫通穴の第2のセットの位置において、平面電極はその内部に間隙を有することができ、間隙は、垂直に延びる貫通穴の第1のセットおよび第2のセットを通過するガスに平面電極が暴露されないように構成されている。
上述のモノリシックセラミックガス分配プレートでは、ピラーは、同じ直径を有する円筒形ピラーとすることができ、および/または円筒形ピラーは、垂直方向に延びる貫通穴の第2のセットの同心列によって隔てられた同心列の形態で配置できる。
上述のモノリシックセラミックガス分配プレートでは、ピラーは同じ直径を有する円筒形ピラーとすることができ、プレナムはピラーの直径にほぼ等しい高さを有することができる。
上述のモノリシックセラミックガス分配プレートでは、埋込み電極を内側プレナムの下方に位置することができ、導電性ビアは、モノリシックセラミック体の外周と第1のガス出口の最外列との間で円周方向に間隔を空けた位置において埋込み電極の外側部分から上向きに延びることができる。
上述のモノリシックセラミックガス分配プレートでは、下面は、モノリシックセラミック体の厚さよりも小さい距離をモノリシックセラミック体の外周から内向きに延びる環状凹部を含むことができる。
図1は、半導体プロセスチャンバの断面を示す。
図2は、シャワーヘッドアセンブリ内に装着されたモノリシックセラミックガス分配プレートの斜視切欠図を示す。
図3は、図2に示すシャワーヘッドアセンブリの等角切欠図を示す。
図4は、図2に示すシャワーヘッドアセンブリの中央部分の斜視切欠図を示す。
図5は、図2に示すシャワーヘッドアセンブリのガス供給アセンブリの上面斜視図を示す。
図6は、図5に示すガス供給アセンブリの底面図である。
図7は、図2に示すモノリシックセラミックガス分配プレートの底部の斜視切欠図を示す。
図8は、図2に示すモノリシックセラミックガス分配プレートの外側部分の断面図を示す。
図9は、図2に示すモノリシックセラミックガス分配プレートの外側部分の斜視切欠図を示す。
図10は、図9に示すモノリシックセラミックガス分配プレートの上層を取り除いた外側部分の斜視図を示す。
本開示によるガス分配プレート(本明細書では「フェースプレート」としても参照される)は、容量結合プラズマ(CCP)プロセスにおいてガスを分配し電極として機能する。ガス分配プレートはセラミック体を含む。いくつかの実施例では、窒化アルミニウム(AlN)、酸化アルミニウム(Al23)、窒化ケイ素(Si34)、酸化イットリウム(Y23)、酸化ジルコニウム(ZrO2)、およびそれらから作られた複合物を使用してよい。単なる例として、ジルコニウムアルミネートまたはイットリウムアルミネートを使用して、フッ素に対する高い耐食性を提供してよい。ガス分配プレートは、ガス分配用の貫通穴と、埋込み電極とを含む。いくつかの実施例では、導電性ビアがフェースプレートの外径の周りに配置されて、無線周波数(RF)電力を埋込み電極に伝達する。
いくつかの実施例では、電極およびビアは、セラミックの熱膨張係数(CTE)に厳密に一致するCTEを有する金属で作られている。いくつかの実施例では、モリブデン、タングステン、または別の好適な金属もしくは金属合金が使用されてよい。PECVD(プラズマ促進化学蒸着法)またはPEALD(プラズマ促進原子層堆積)リアクタでは、ガス分配プレートはRF給電電極として機能して、容量結合プラズマ(CCP)を生成する。
セラミックの使用により、フェースプレートを高温環境にて使用できる。ガス分配プレートは、ガス分配プレートをCCP回路の給電電極として機能させる必要がある高温PECVDまたはPEALDリアクタの問題に対処する。セラミックはまた、ガス分配プレートを大部分のガス化学物質およびプラズマに対して耐性を有するようにする。いくつかの実施例では、ガス分配プレートは、400°C~1100°Cの温度で動作し、かつ/または腐食性ガス化学物質を使用する、CCPリアクタで使用される。代替として、ガス分配プレートは、任意のPECVD CCPリアクタ内で電極として、または任意のCVDリアクタ内でガス分配プレートとして使用できる。
ここで図1を参照するとは、処理チャンバ100の例が示される。処理チャンバ100は、基板支持体114に隣接して配置されたガス分配デバイス112を含む。いくつかの実施例では、処理チャンバ100は、別の処理チャンバの内側に配置されてよい。ペデスタルを使用して、基板支持体114を所定の位置に持ち上げて、マイクロプロセス容積を構築してよい。以下で更に説明するように、ガス分配デバイス112は、フェースプレート124と、プロセスガスおよびパージガスを供給するため、および/または排気ガスを除去するために使用される様々な空洞を含む上側部分120とを含む。
いくつかの実施例では、フェースプレート124は、窒化アルミニウムなどの非導電性セラミック材料で作られている。フェースプレート124は、第1の表面126、第2の表面127(第1の表面の反対側にあり使用中に基板に面する)、側面128、および穴130(第1の表面126から第2の表面127まで延びる)を有するセラミック体を含む。フェースプレート124は、アイソレータ132上に載置されていてよい。いくつかの実施例では、アイソレータ132は、Al23または別の好適な材料で作られていてよい。フェースプレート124は、埋込み電極138を含んでよい。いくつかの実施例では、基板支持体114は接地されているか、またはフローティングであり、フェースプレート124はプラズマ発生器142に接続されている。プラズマ発生器142は、RF源146、ならびに整合および分配回路148を含む。
図1の例では、上側部分120は、第1の空洞156を画定する中央領域152を含んでよい。いくつかの実施例では、中央領域152はAl23または別の好適な材料で作られている。ガス供給システム160は、1つ以上のプロセスガス、パージガスなどを処理チャンバ100に供給するために設けられてよい。ガス供給システム160は、対応するマスフローコントローラ(MFC)166、バルブ170、およびマニホールド172と流体連通している1つ以上のガス源164を含んでよい。マニホールド172は、第1の空洞156と流体連通している。ガス供給システムは、1つ以上のプロセスガスを含むガス混合物の、マニホールド172への供給を計量する。プロセスガスは、処理チャンバ100への供給の前にマニホールド172内で混合されてよい。以下で説明するように、フェースプレート124は、2つの異なるガス化学物質を互いに独立して供給するための2組のガス出口を有することができる。
上側部分120はまた、中央領域152の周囲に配置された半径方向外側領域180を含む。半径方向外側領域180は、1つ以上の層182-1、182-2、...、および182-N(総称して層182)を含んでよく、ここでNはゼロより大きい整数である。図1の例では、半径方向外側領域180は、排気およびガスカーテン空洞を画定するN=3の層182を含むが、追加のまたはより少ない層が使用されてよい。中央領域152および半径方向外側領域180は、フェースプレート124に対して間隔を空けた関係で配置されて第2の空洞190を画定する。プロセスガスは、ガス供給システム160から第1の空洞156を通って第2の空洞190に流れる。第2の空洞190内のプロセスガスは、フェースプレート124内の第1の複数の穴130を通って流れて、基板支持体114上に配置された基板にわたってプロセスガスを均一に分配する。いくつかの実施例では、基板支持体114は加熱される。
第2の空洞190の様々な部分を隔てるために、1つ以上の環状シールが提供されてよい。いくつかの実施例では、環状シールはニッケルめっき環状シールである。例えば、第1の環状シール204および第2の環状シール208は、それぞれ、第2の空洞190の供給部分210、第2の空洞190の排気部分212、およびガスカーテン部分214の間の境界をそれぞれ画定するために設けられてよい。パージガスは、ガス源270およびバルブ272によってガスカーテン部分214に供給されてよい。
この例では、第1の環状シール204は、供給部分210と排気部分212との間の境界を画定する。第2の空洞190のガスカーテン部分214を画定するために、(第2の環状シール208と併せて)第3の環状シール220を設けてよい。この例では、第2の環状シール208は、第2の空洞190の排気部分212とガスカーテン部分214との間の境界を画定する。第1の環状シール204、第2の環状シール208、および第3の環状シール220はそれぞれ、環状金属シールを含んでよい。
半径方向外側領域180は、第2の空洞190の排気部分212から排気ガスを受け入れる排気入口240および排気空洞242を更に画定する。バルブ250およびポンプ252を使用して、排気部分212を排出してよい。半径方向外側領域180はまた、第2の空洞190のガスカーテン部分214にパージガスを供給するガスカーテン空洞260およびガスカーテン出口262を画定する。ガス源270およびバルブ272を使用して、ガスカーテンに供給されるパージガスを制御してよい。
第3の環状シール220はまた、プラズマ発生器142から、フェースプレート124に埋め込まれた電極138への電気的接続を提供してよいが、電極138を接続する他の方法を使用してよい。
コントローラ280を使用して、センサを使用してシステムパラメータをモニタし、ガス供給システム160、プラズマ発生器142、およびプロセスの他の構成要素を制御してよい。
図2はシャワーヘッドモジュール300の断面図を示し、ガス供給アセンブリ400が、中央に位置する内側導管402を通して第1のガスを供給し、内側導管402を取り囲む1つ以上の外側導管404を通して第2のガスを供給できる。ガス供給アセンブリ400の上端は、第1および第2のガスを分離するための、金属Cリングまたは金属Oリングなどの内側シール406および外側シール408を含む。ガス供給アセンブリ400の下端は、シャワーヘッドモジュール300の下側プレート302に対して封止する、金属Cリングまたは金属Oリングなどの外側シール410を含み、それにより、1つ以上の外側導管404を流れる第2のガスは下側プレート内の中央ボア304の中に入る。ガス供給アセンブリ400の下端は、フェースプレート500の上面に対して、金属Cリングまたは金属Oリングなどの内側シール416を介して封止された中央管状延長部412を含む。以下により詳細に説明するように、第2のガスは、下側プレート302の下面とフェースプレート500の上面との間の第1のプレナム(上側プレナム)414の中に流れ込み、第1のガスはフェースプレート500内の第2のプレナム(内側プレナム)502の中に流れ込む。したがって、第1および第2のガスは、半導体基板の処理中にフェースプレート500の下方の反応ゾーン504の中に供給された場合、互いに分離され得る。
ガス供給アセンブリ400は、シャワーヘッドモジュール300の上部プレート306に、ボルトなどの好適な締結具420を用いて上部プレート306に取り付けられた装着フランジ418によって取り付けることができる。ガス供給アセンブリ400は、上側ガス接続フランジ422と、一片のアルミナなどのセラミック材料の下側ステム424とを含む。内側導管402は、任意の好適な直径、例えば0.2~0.3インチ(5.08~7.62ミリメートル)、好ましくは約0.25インチ(6.35ミリメートル)を有し得る。外側導管404は、0.1~0.2インチ(2.54~5.08ミリメートル)、好ましくは約0.15インチ(3.81ミリメートル)などの同じ直径を有する円周方向に間隔を空けた6つの外側導管404を備え得る。6つの外側導管404は、内側シール406が支持されている上側管状延長部428を取り囲む環状凹部426内に位置し得る。
上部プレート306は、反応ゾーン504からガスを供給または排出するように適合された中間プレート310の1つ以上の空洞308に接続された1つ以上の導管を含み得る。例えば、図3に示すように、外側空洞308は、反応ゾーン504の周りにガスシールを形成する不活性ガスのカーテンを供給するために、上部プレート306を取り囲むアイソレータ314内のガス通路312の外側リングに接続され得る。ガスを排出するために、アイソレータは、空洞318に接続された排気ガス通路316の内側リングを含むことができ、排気ガス通路は排気ガスを排気ラインに引き出す。
図4は、ガス供給アセンブリ400のステム424の管状延長部412とフェースプレート500との間の接続の詳細を示す。図示するように、内側シール416は、フェースプレート500の上面508内の環状溝506内に位置する。上面508の中に延びる中央ボア510は、フェースプレート500内の内側プレナム502と流体連通しており、フェースプレート500の内側プレナム502と下面514との間に延びる第1のガス通路512は、ガス供給アセンブリ400の内側導管402によって供給された第1のガスが反応ゾーン504へと供給されることを可能にする。
フェースプレート500は、上面508から下面514まで延びる第2のガス通路516を含む。第2のガス通路516は、1つ以上の外側導管404によってフェースプレート500の上方の上側プレナム414へと供給された第2のガスが、反応ゾーン504へと供給されることを可能にする。第1および第2のガスが反応ゾーン504に到達する前に接触することを防ぐために、第2のガス通路516は円筒形ピラー518を通って延びている。ピラー518は、内側プレナム502の容積を最大化し、処理中の半導体基板にわたって第1のガスの流れの均一性を高める。フェースプレート500はまた、RFエネルギーを反応ゾーン504に結合させる埋込み電極520を含む。一実施形態では、上面508および下面514は平面であり、埋込み電極520は、平面の上面508および下面514に平行に向けられた平面電極である。
図5は、ガス供給アセンブリ400の上端の詳細を示す。ガス供給アセンブリ400は、締結具を収容する6つのボアを有するガス接続フランジを含み、ガス接続フランジには、内側導管402に第1のガスを供給し、6つの外側導管404に第2のガスを供給する好適なガス供給部が取り付けられる。図6に示すように、ガス供給アセンブリ400は、ステム424の下端面にある6つの外側導管404と、管状延長部412にある内側導管402との出口を有する下端を有する。
図7は、フェースプレート500の斜視断面図であり、下面514は、第1のガス通路512および第2のガス通路516の出口が均一な分布を有することが分かる。例えば、ガス通路512の出口は同心列に配置することができ、ガス通路516の出口はガス通路512の列の間に挟まれた同心列に配置することができる。フェースプレートはまた、埋込み電極520に接続された導電性ビア522を含む。例えば、導電性ビア522は、ガス通路512、516の最外列の外側に位置することができ、および/または導電性ビア522は、フェースプレート500の上面に至る途中まで、または上面に至るまで延びることができる。
図8は、フェースプレート500の外側部分の断面図である。図示するように、導電性ビア522は、上面508から埋込み電極520まで延びている。埋込み電極520は、好ましくは、ガス通路512、516の位置に開口部を有する連続的なプレートまたはグリッドである。導電性ビア522は、ガス通路512、516のない環状領域523内に位置することができる。代替として、ガス通路512、516は、フェースプレート500の下面を完全に横切って延びることができ、導電性ビア522は、ガス通路512、516の1つ以上の最外列の中に延びることができる。
図9は、ガス通路516を貫通する位置における、フェースプレート500の斜視断面図である。図示するように、ガス通路512は、ガス通路516からオフセットされており、ガス通路512の入口のみが、内側プレナム502内に見える。ガス通路516は、一連の同心列などの任意の好適なパターンで配置できる。同様に、ピラー518を見やすくするためにフェースプレート500の上部を示していない図10では、ガス通路512を同心列のパターンで配置することもできる。
フェースプレート500の製造においては、グリーンセラミックシートの層を必要に応じて積み重ね機械加工して、電極500、導電性ビア522、内側プレナム502、ピラー518、ガス通路512、516、中央ボア510、および環状溝506が設けられる。上述の実現形態では、セラミックフェースプレートは、直径300mmまたは450mmの半導体ウェーハを処理するのに十分な大きさの直径を有する実質的に環状のディスクである。
上述のとおり、セラミックフェースプレート500は、埋込み電極520と、コンタクトビア522とを含んでよく、コンタクトビアは、接触リング上のスタンドオフポストに電気的に接続することができ、スタンドオフポストは、セラミックフェースプレート500のスタンドオフ止まり穴を介してセラミックフェースプレート500を貫通し、接触パッチを介して埋込み電極520と電気的に接触していてよい。埋込み電極520は、接触パッチにおいて、例えば拡散接合またはろう付けを使用して、スタンドオフに融合されてよい。導電性接合部を確立する他の同等の溶融技術を使用してよい。接触リング上のスタンドオフは、接触リングとは別に製造され、後で接触リングに接合されてよい。例えば、接触リングは1つ以上の穴フィーチャを含んでよく、穴フィーチャの各々はスタンドオフポストを収容し、スタンドオフは次いで接触リングに固定される。接触リングへのスタンドオフポストの接続は、恒久的、例えば溶融結合もしくはろう付けであってよく、または可逆的、例えばねじ込み式取付け部品またはねじであってよい。接触リングおよびスタンドオフは、RF電源または接地源が埋込み電極520に到達するための導電性経路(単数または複数)を提供してよい。タングステンまたはモリブデンの埋込み電極に対して適合性のある熱膨張を提供するために、接触リングをタングステンまたはモリブデンで作ることができる。例えば、その開示が参照により本明細書に組み込まれる、同一出願人による米国特許出願公開第2012/0222815号明細書を参照されたい。
埋込み電極520およびモノリシックセラミックガス分配プレート500は、小さいガス分配穴のパターンを含んでよい。一実現形態では、約1000~3000個のガス分配穴が、埋込み電極520を通過して、モノリシックセラミックガス分配プレート500の露出された表面に達してよい。例えば、セラミックガス分配プレート500内のガス分配穴は直径が0.03インチ(0.762ミリメートル)であってよく、一方、埋込み電極520内の対応する穴は直径0.15インチ(3.81ミリメートル)であってよい。他のガス分配穴のサイズ、例えば、直径が0.02インチ(0.508ミリメートル)~0.06インチ(1.524ミリメートル)の範囲にあるサイズを使用してよい。一般原則として、埋込み電極520の穴は、セラミックガス分配プレート500の対応するガス分配穴の直径の少なくとも2倍の大きさであるが、セラミック層の層間剥離を防止し、埋込み電極520がプロセスガスまたはクリーニングガスに暴露されないことを確実にするために、埋込み電極520の穴は、セラミックガス分配プレート500内のガス分配穴よりも、直径が少なくとも0.1インチ(2.54ミリメートル)大きいことが好ましい。
ガス分配穴512、516は、グリッドアレイ、ポーラーアレイ、螺旋、オフセット螺旋、六角形アレイなどを含む任意の所望の構成で配置されてよい。ガス分配穴の配置により、シャワーヘッドにわたる穴密度が変化して差し支えない。所望のガスフローに応じて、様々な直径のガス分配穴を様々な位置で使用してよい。好ましい実現形態では、ガス分配穴は全て、同じ公称値の直径および穴間隔を有し、様々な直径および様々な数の穴を有する穴からなる円を使用してパターン形成される。
ガス分配穴512、516は、セラミックガス分配プレート500の厚さを通して、均一な直径を有してよく、または直径が変化してよい。例えば、ガス分配穴は、下側プレート302に面するセラミックガス分配プレート500の表面上では第1の直径であってよく、ガス分配穴が、処理される基板に面する露出された下面514を出る時は第2の直径であってよい。第1の直径は第2の直径より大きくてよい。ガス分配穴のサイズを変化させる可能性に関係なく、埋込み電極520の穴は、埋込み電極520と同じ平面内で測定された、セラミックガス分配プレート500のガス分配穴の直径に対してサイズ設定されてよい。
セラミックフェースプレート500は、酸化アルミニウム(Al23)もしくは窒化アルミニウム(AlN)、窒化ケイ素(Si34)、または炭化ケイ素から製造されてよい。フッ素による侵食に対する強い耐性と、高温、すなわち500~600℃での優れた寸法安定性を示す他の材料もまた使用してよい。特定の半導体処理用途で使用されるプロセスガスとの化学的相互作用を回避するために、使用される特定のセラミックを選択する必要がある場合がある。窒化ホウ素(BN)および酸窒化アルミニウム(AlON)は、この用途で使用できるセラミックの更なる例であるが、これら材料は製造上の課題により実現が難しい場合がある。
埋込み電極520、ならびに埋込み電極520への導電性経路の要素は、例えば、タングステンまたはモリブデンから製造されてよい。高い耐熱性を有し、セラミックフェースプレートの材料と類似した熱膨張係数を有する他の導電性材料を使用してよい。埋込み電極520への導電性経路で、セラミックガス分配プレート500内に封入されていない場合がある部分は、プロセスガスへの暴露による導電性経路への損傷を防止または低減できる、ニッケルめっきなどの保護コーティングでコーティングされていてよい。高温で腐食および酸化に対する耐性を保持する貴金属、例えば、金、白金、パラジウム、またはイリジウムのコーティングなどの他の保護コーティングも使用してよい。
接触リングは、タングステンまたはモリブデンからも製造されてよい。接触リングは、典型的には、埋込み電極と結合適合性があり、同様の熱膨張特性を有する材料から製造されてよい。
モノリシックセラミックガス分配プレート500をチャンバに装着して、より短いガス通路512を通して内側プレナム502(プレナム2)から供給されるガスよりも、より長いガス通路516を通してガスを供給する上側プレナム(プレナム1)を提供できる。フェースプレート500は、テープ成形積層製造技術によって作製でき、ポスト(ピラー518)および環状溝506などの構造的特徴部の大部分は、グリーン状態で機械加工できる。上側プレナム(プレナム1)にはバッフルがなく、外側ガス導管404から供給されたガスは上側プレナム414(プレナム1)内を制限されずに流れ、より長いガス通路516を通って出ることが可能である。同様に、内側導管402によって供給されたガスは、内側プレナム502(プレナム2)を通って自由に流れ、より短いガス通路512を通って出ることができる。より長いガス通路516は、より長いガス通路516に起因する、より高い圧力降下を補償するために、より短いガス通路512よりも数が多い場合がある。例えば、セラミックガス分配プレート500は、約910~930個のより短いガス通路512、および約960~980個のより長いガス通路516を有することができる。より長いガス通路516は、同心円列に、例えば穴の15~20列に配置できる。同様に、より短いガス通路512は同心円列に、例えば穴の15~20列に、より長いガス通路516の列と交互に配置できる。好ましくは、より長いガス通路516は、より短いガス通路512と同じ数の列に配置され、穴間の半径方向間隔は、より長いガス通路512と、より短いガス通路516とで同じである。内側プレナム502は、好ましくは、約0.1インチ(2.54ミリメートル)以下の小さな高さ、および約200cc以下の総容積を有する。一実施形態では、ガス通路512、516は、セラミックガス分配プレート500の外周近くまで延び、埋込み電極520に電力を供給するための6つの導電性ビア522は、ガス通路512、516の1つ以上の最外列の中まで延びる位置に配置できる。
ALD処理では、様々なガス化学物質が連続的に供給されて、ドーズステップとそれに続く変換ステップとのサイクルが実施される。ALDにセラミックガス分配プレート500を使用する場合、ドーズガスを、より多数のより長いガス通路516と流体連通しているプレナム1(上側プレナム414)に供給でき、変換ガスを、より少ない数のより短いガス通路512と流体連通しているプレナム2(内側プレナム502)に供給できる。
添付図面を参照して本発明のいくつかの実現形態を本明細書で詳細に説明してきたが、本発明はこれらの厳密な実現形態に限定されないこと、ならびに様々な変更および修正が、特許請求の範囲にて規定されるような本発明の趣旨の範囲から逸脱しない範囲で当業者によって本明細書にて実現してよいことを理解されたい。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
半導体基板を処理できる化学堆積装置内で使用するためのモノリシックセラミックガス分配プレートであって、前記ガス分配プレートは、
上面、下面、および前記上面と前記下面との間を延びる外側円筒面を有するモノリシックセラミック体と、
前記下面において均一に間隔を空けた第1の位置にある第1のガス出口であって、前記上面の第1のガス入口に、前記第1のガス入口を前記第1のガス出口へと接続する垂直に延びる貫通穴の第1のセットによって流体連通している、第1のガス出口と、
前記下面において前記第1の位置に隣接する均一に間隔を置いた第2の位置にある第2のガス出口であって、前記第2のガス出口は、前記モノリシックセラミック体の内側プレナムに、前記第2のガス出口を前記内側プレナムに接続する垂直に延びる貫通穴の第2のセットによって流体連通しており、前記内側プレナムは、前記上面の中央部分に位置する第2のガス入口と流体連通している、第2のガス出口と、
内側上部壁、内側下部壁、内側外部壁、および前記内側上部壁と前記内側下部壁との間に延びる一組のピラーによって画定される前記内側プレナムと、
前記ピラーのうちの対応する1つを貫通し、垂直に延びる貫通穴の前記第1のセットの貫通穴の各々と、
を備えるモノリシックセラミックガス分配プレート。
適用例2:
適用例1のモノリシックセラミックガス分配プレートであって、前記上面にあり、前記第2のガス入口を取り囲む環状溝を更に備える、モノリシックセラミックガス分配プレート。
適用例3:
適用例1のモノリシックセラミックガス分配プレートであって、前記ピラーは同じ直径を有する円筒形ピラーであり、垂直に延びる前記貫通穴の第1のセットの各々が、前記ピラーの前記直径の約3分の1から約5分の1、または前記ピラーの前記直径の約6分の1から約10分の1の直径を有する、モノリシックセラミックガス分配プレート。
適用例4:
適用例1のモノリシックセラミックガス分配プレートであって、前記モノリシックセラミック体内に埋め込まれた平面電極を更に備え、垂直に延びる前記貫通穴の第1のセットおよび前記貫通穴の第2のセットを通過するガスに前記平面電極が暴露されないように、垂直に延びる前記貫通穴の第1のセットの位置において、および垂直に延びる前記貫通穴の第2のセットの位置において、前記平面電極は内部に間隙を有する、モノリシックセラミックガス分配プレート。
適用例5:
適用例1のモノリシックセラミックガス分配プレートであって、前記ピラーは、同じ直径を有する円筒形ピラーであり、前記ピラーは、垂直方向に延びる前記貫通穴の第2のセットの同心列によって隔てられた同心列の形態で配置されている、モノリシックセラミックガス分配プレート。
適用例6:
適用例1のモノリシックセラミックガス分配プレートであって、前記上面および前記下面は平面であり、前記ピラーは同じ直径を有する円筒形ピラーであり、前記内側プレナムは前記ピラーの前記直径にほぼ等しい高さを有する、モノリシックセラミックガス分配プレート。
適用例7:
適用例1のモノリシックセラミックガス分配プレートであって、前記内側プレナムの下方にある埋込み電極と、前記モノリシックセラミック体の外周と前記第1のガス出口の最外列との間で円周方向に間隔を空けた位置において前記埋込み電極から上向きに延びる導電性ビアと、を更に備える、モノリシックセラミックガス分配プレート。
適用例8:
適用例1のモノリシックセラミックガス分配プレートであって、前記下面を取り囲む環状凹部を更に備え、前記環状凹部は、前記モノリシックセラミック体の厚さよりも小さい距離を、前記モノリシックセラミック体の外周から内向きに延びる、モノリシックセラミックガス分配プレート。
適用例9:
適用例1のガス分配プレートと、ガス供給アセンブリとを備えるシャワーヘッドモジュールであって、前記シャワーヘッドモジュールは、前記ガス供給アセンブリのステムが前記シャワーヘッドモジュールの下側プレートの中央ボアを通って延びるように、前記ガス供給アセンブリを支持する上部プレートを含み、前記ガス供給アセンブリは、前記内側プレナムと流体連通する中央に位置する内側ガス導管と、前記下側プレートの下面と前記モノリシックセラミック体の前記上面との間の上側プレナムと流体連通する少なくとも1つの外側ガス導管とを含む、シャワーヘッドモジュール。
適用例10:
適用例9のシャワーヘッドモジュールであって、前記ガス供給アセンブリの前記ステムの下端は、前記下側プレートの前記下面の下方に延びる管状延長部を含み、前記管状延長部の端部と前記モノリシックセラミック体の前記上面との間に環状シールが位置して、少なくとも1つの前記外側ガス導管を介して供給されるガスから、中央に位置する前記内側ガス導管を介して供給されるガスを分離する、シャワーヘッドモジュール。
適用例11:
適用例10のシャワーヘッドモジュールであって、前記下側プレートは、前記上側プレナムと流体連通する環状間隙によって前記管状延長部から外側に間隔を空けた中央ボアを含み、前記下側プレートの上面の環状溝内の環状シールが、前記ステムの前記下端に対して封止する、シャワーヘッドモジュール。
適用例12:
適用例9のシャワーヘッドモジュールであって、前記ガス供給アセンブリは、前記シャワーヘッドモジュールの前記上部プレートに取り付けられた外向きに延びる装着フランジと、前記ステムの上端にある上側ガス接続フランジとを含み、前記ガス接続フランジは、前記ガス接続フランジの上面内に環状凹部を含み、少なくとも1つの前記外側ガス導管は、前記環状凹部内に入口を有する円周方向に間隔を空けた6つの外側ガス導管を備える、シャワーヘッドモジュール。
適用例13:
適用例1のガス分配プレート、を製造する方法であって、第1のセラミックグリーンシート内の垂直に延びる前記貫通穴の第2のセットを機械加工することと、前記第1のセラミックグリーンシートの上面に埋込み電極を印刷することと、前記第1のセラミックグリーンシートに第2のセラミックグリーンシートを重ねることと、前記第2のセラミックグリーンシート内に前記内側プレナムおよびピラーを機械加工することと、前記第2のセラミックグリーンシートに第3のセラミックグリーンシートを重ねることと、垂直に延びる前記貫通穴の第1のセットの各々が、前記ピラーの対応する1つを通過するように、前記第1、前記第2および前記第3のグリーンセラミックシート内に前記貫通穴の第1のセットを機械加工することと、前記グリーンセラミックシートを焼結して、前記モノリシックセラミックガス分配プレートを形成することと、を含む方法。
適用例14:
適用例13の方法であって、前記埋込み電極は、前記モノリシックセラミック体の熱膨張係数と一致する熱膨張係数を有する材料で作られている、方法。
適用例15:
適用例13の方法であって、前記埋込み電極はモリブデンおよび/またはタングステンで作られている、方法。
適用例16:
適用例13の方法であって、前記セラミックグリーンシートは、窒化アルミニウム(AlN)、酸化アルミニウム(Al 2 3 )、窒化ケイ素(Si 3 4 )、酸化イットリウム(Y 2 3 )、酸化ジルコニウム(ZrO 2 )、およびそれらの複合材料からなる群から選択される材料で作られる、方法。
適用例17:
適用例13の方法であって、前記第3のセラミックグリーンシートの上面にガス入口および環状溝を機械加工することを更に含む、方法。
適用例18:
適用例13の方法であって、前記第3のセラミックグリーンシートに、前記第3のセラミックグリーンシートの外周と前記第1のガス出口の最外列との間で円周方向に間隔を空けた位置においてビアを機械加工することと、前記ビアの各々を、前記埋込み電極への電気的接続を提供する導電性材料で少なくとも部分的に充填することと、を更に含む方法。
適用例19:
適用例18の方法であって、凹部が前記モノリシックセラミック体の前記上面の中に延びるように前記ビアが部分的に充填される、方法。
適用例20:
適用例13の方法であって、前記下面を取り囲む環状凹部を形成することであって、前記環状凹部は、前記モノリシックセラミック体の外周から内向きに、前記モノリシックセラミック体の厚さよりも小さい距離だけ延びる、環状凹部の形成と、前記第3のセラミックグリーンシートの中央部分にガス入口を機械加工して、前記ガス入口が前記内側プレナムと流体連通するようにすることと、を更に含む方法。

Claims (19)

  1. 半導体基板を処理できる化学堆積装置内で使用するためのモノリシックセラミックガス分配プレートであって、前記ガス分配プレートは、
    上面、下面、および前記上面と前記下面との間を延びる外側円筒面を有するモノリシックセラミック体であって
    前記下面において均一に間隔を空けた第1の位置にある第1のガス出口であって、前記上面の第1のガス入口に、前記第1のガス入口を前記第1のガス出口へと接続する垂直に延びる貫通穴の第1のセットによって流体連通している、第1のガス出口と、
    前記下面において前記第1の位置に隣接する均一に間隔を置いた第2の位置にある第2のガス出口であって、前記第2のガス出口は、前記モノリシックセラミック体の内側プレナムに、前記第2のガス出口を前記内側プレナムに接続する垂直に延びる貫通穴の第2のセットによって流体連通しており、前記内側プレナムは、前記上面の中央部分に位置する第2のガス入口と流体連通している、第2のガス出口と、を備え、
    前記第1のガス入口と前記第1のガス出口とは、前記第2のガス入口と前記第2のガス出口から、それぞれ分離されている、モノリシックセラミック体と、
    内側上部壁、内側下部壁、内側外部壁、および前記モノリシックセラミック体において一体的に形成されており前記内側上部壁と前記内側下部壁との間に延びる一組のピラーによって画定される前記内側プレナムと、
    前記ピラーのうちの対応する1つを貫通し、垂直に延びる貫通穴の前記第1のセットの貫通穴の各々と、
    前記モノリシックセラミック体の前記上面に延びる中央ガス供給アセンブリであって、
    第1ガスを供給するための外側導管と、
    前記外側導管に囲まれ、第2ガスを供給するための内側導管と、を含み、
    前記第1ガスおよび前記第2ガスは、
    前記ガス分配プレートの別々の内側面上に実質的に同時にかつ互いに独立して送られ、
    前記第1ガスおよび前記第2ガスは、前記ガス分配プレートの下にある前記化学堆積装置内の反応ゾーン内に導入されるまで混合しない、中央ガス供給アセンブリと、
    前記モノリシックセラミック体に埋め込まれている平面電極であって、垂直に延びる前記貫通穴の第1および第2のセットを通過するガスに前記平面電極が曝露されないように、垂直に延びる前記貫通穴の第1のセットの位置と、垂直に延びる前記貫通穴の第2のセットの位置と、において、内部に隙間を有する平面電極と、
    前記外側円筒面の周りに配置され、前記埋め込まれている平面電極に高周波電力を供給するように構成された複数の導電性ビアと、
    を備えるモノリシックセラミックガス分配プレート。
  2. 請求項1に記載のモノリシックセラミックガス分配プレートであって、前記上面にあり、前記第2のガス入口を取り囲む環状溝を更に備える、モノリシックセラミックガス分配プレート。
  3. 請求項1に記載のモノリシックセラミックガス分配プレートであって、前記ピラーは同じ直径を有する円筒形ピラーであり、垂直に延びる前記貫通穴の第1のセットの各々が、前記ピラーの前記直径の約3分の1から約5分の1、または前記ピラーの前記直径の約6分の1から約10分の1の直径を有する、モノリシックセラミックガス分配プレート。
  4. 請求項1に記載のモノリシックセラミックガス分配プレートであって、前記ピラーは、同じ直径を有する円筒形ピラーであり、前記ピラーは、垂直方向に延びる前記貫通穴の第2のセットの同心列によって隔てられた同心列の形態で配置されている、モノリシックセラミックガス分配プレート。
  5. 請求項1に記載のモノリシックセラミックガス分配プレートであって、前記上面および前記下面は平面であり、前記ピラーは同じ直径を有する円筒形ピラーであり、前記内側プレナムは前記ピラーの前記直径にほぼ等しい高さを有する、モノリシックセラミックガス分配プレート。
  6. 請求項1に記載のモノリシックセラミックガス分配プレートであって、前記内側プレナムの下方にある埋込み電極と、前記モノリシックセラミック体の外周と前記第1のガス出口の最外列との間で円周方向に間隔を空けた位置において前記埋込み電極から上向きに延びる導電性ビアと、を更に備える、モノリシックセラミックガス分配プレート。
  7. 請求項1に記載のモノリシックセラミックガス分配プレートであって、前記下面を取り囲む環状凹部を更に備え、前記環状凹部は、前記モノリシックセラミック体の厚さよりも小さい距離を、前記モノリシックセラミック体の外周から内向きに延びる、モノリシックセラミックガス分配プレート。
  8. 請求項1に記載のガス分配プレートと、ガス供給アセンブリとを備えるシャワーヘッドモジュールであって、前記シャワーヘッドモジュールは、前記ガス供給アセンブリのステムが前記シャワーヘッドモジュールの下側プレートの中央ボアを通って延びるように、前記ガス供給アセンブリを支持する上部プレートを含み、前記ガス供給アセンブリは、前記内側プレナムと流体連通する中央に位置する内側ガス導管と、前記下側プレートの下面と前記モノリシックセラミック体の前記上面との間の上側プレナムと流体連通する少なくとも1つの外側ガス導管とを含む、シャワーヘッドモジュール。
  9. 請求項8に記載のシャワーヘッドモジュールであって、前記ガス供給アセンブリの前記ステムの下端は、前記下側プレートの前記下面の下方に延びる管状延長部を含み、前記管状延長部の端部と前記モノリシックセラミック体の前記上面との間に環状シールが位置して、少なくとも1つの前記外側ガス導管を介して供給されるガスから、中央に位置する前記内側ガス導管を介して供給されるガスを分離する、シャワーヘッドモジュール。
  10. 請求項9に記載のシャワーヘッドモジュールであって、前記下側プレートは、前記上側プレナムと流体連通する環状間隙によって前記管状延長部から外側に間隔を空けた中央ボアを含み、前記下側プレートの上面の環状溝内の環状シールが、前記ステムの前記下端に対して封止する、シャワーヘッドモジュール。
  11. 請求項8に記載のシャワーヘッドモジュールであって、前記ガス供給アセンブリは、前記シャワーヘッドモジュールの前記上部プレートに取り付けられた外向きに延びる装着フランジと、前記ステムの上端にある上側ガス接続フランジとを含み、前記ガス接続フランジは、前記ガス接続フランジの上面内に環状凹部を含み、少なくとも1つの前記外側ガス導管は、前記環状凹部内に入口を有する円周方向に間隔を空けた6つの外側ガス導管を備える、シャワーヘッドモジュール。
  12. 請求項1に記載のガス分配プレート、を製造する方法であって、第1のセラミックグリーンシート内の垂直に延びる前記貫通穴の第2のセットを機械加工することと、前記第1のセラミックグリーンシートの上面に埋込み電極を印刷することと、前記第1のセラミックグリーンシートに第2のセラミックグリーンシートを重ねることと、前記第2のセラミックグリーンシート内に前記内側プレナムおよびピラーを機械加工することと、前記第2のセラミックグリーンシートに第3のセラミックグリーンシートを重ねることと、垂直に延びる前記貫通穴の第1のセットの各々が、前記ピラーの対応する1つを通過するように、前記第1、前記第2および前記第3のセラミックグリーンシート内に前記貫通穴の第1のセットを機械加工することと、前記第1、前記第2および前記第3のセラミックグリーンシートを焼結して、前記モノリシックセラミックガス分配プレートを形成することと、を含む方法。
  13. 請求項12に記載の方法であって、前記埋込み電極は、前記モノリシックセラミック体の熱膨張係数と一致する熱膨張係数を有する材料で作られている、方法。
  14. 請求項12に記載の方法であって、前記埋込み電極はモリブデンおよび/またはタングステンで作られている、方法。
  15. 請求項12に記載の方法であって、前記第1、前記第2および前記第3のセラミックグリーンシートは、窒化アルミニウム(AlN)、酸化アルミニウム(Al23)、窒化ケイ素(Si34)、酸化イットリウム(Y23)、酸化ジルコニウム(ZrO2)、およびそれらの複合材料からなる群から選択される材料で作られる、方法。
  16. 請求項12に記載の方法であって、前記第3のセラミックグリーンシートの上面にガス入口および環状溝を機械加工することを更に含む、方法。
  17. 請求項12に記載の方法であって、前記第3のセラミックグリーンシートに、前記第3のセラミックグリーンシートの外周と前記第1のガス出口の最外列との間で円周方向に間隔を空けた位置においてビアを機械加工することと、前記ビアの各々を、前記埋込み電極への電気的接続を提供する導電性材料で少なくとも部分的に充填することと、を更に含む方法。
  18. 請求項17に記載の方法であって、凹部が前記モノリシックセラミック体の前記上面の中に延びるように前記ビアが部分的に充填される、方法。
  19. 請求項12に記載の方法であって、前記下面を取り囲む環状凹部を形成することであって、前記環状凹部は、前記モノリシックセラミック体の外周から内向きに、前記モノリシックセラミック体の厚さよりも小さい距離だけ延びる、環状凹部の形成と、前記第3のセラミックグリーンシートの中央部分にガス入口を機械加工して、前記ガス入口が前記内側プレナムと流体連通するようにすることと、を更に含む方法。
JP2020503841A 2017-07-28 2018-07-26 モノリシックセラミックガス分配プレート Active JP7292256B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/662,869 2017-07-28
US15/662,869 US20190032211A1 (en) 2017-07-28 2017-07-28 Monolithic ceramic gas distribution plate
PCT/US2018/043843 WO2019023429A2 (en) 2017-07-28 2018-07-26 MONOLITHIC CERAMIC GAS DISTRIBUTION PLATE

Publications (3)

Publication Number Publication Date
JP2020529124A JP2020529124A (ja) 2020-10-01
JP2020529124A5 JP2020529124A5 (ja) 2021-08-26
JP7292256B2 true JP7292256B2 (ja) 2023-06-16

Family

ID=65040888

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020503841A Active JP7292256B2 (ja) 2017-07-28 2018-07-26 モノリシックセラミックガス分配プレート

Country Status (5)

Country Link
US (1) US20190032211A1 (ja)
JP (1) JP7292256B2 (ja)
KR (1) KR102584684B1 (ja)
CN (1) CN110998816B (ja)
WO (1) WO2019023429A2 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20220127895A (ko) * 2020-01-13 2022-09-20 램 리써치 코포레이션 트렌치 프로파일 최적화를 위한 멀티 존 가스 분배 플레이트
CN111243933A (zh) * 2020-02-18 2020-06-05 信利(仁寿)高端显示科技有限公司 一种干法刻蚀设备的上部电极及干法刻蚀设备
US11479859B2 (en) * 2020-04-09 2022-10-25 Applied Materials, Inc. High temperature vacuum seal
US20230011938A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Shaped showerhead for edge plasma modulation
KR20230037188A (ko) * 2021-09-09 2023-03-16 주성엔지니어링(주) 기판처리장치
CN116994936A (zh) * 2022-01-18 2023-11-03 江苏天芯微半导体设备有限公司 一种衬套及晶圆预处理装置
CN116875961A (zh) * 2023-09-01 2023-10-13 上海陛通半导体能源科技股份有限公司 原子层沉积设备

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001323377A (ja) 2000-03-16 2001-11-22 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
US20030143328A1 (en) 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
WO2013031800A1 (ja) 2011-08-29 2013-03-07 京セラ株式会社 プラズマ発生体及びプラズマ発生装置
JP2013239707A (ja) 2012-05-11 2013-11-28 Advanced Micro Fabrication Equipment Inc Shanghai ガスシャワーヘッド、その製造方法及び薄膜成長反応装置
JP2014509783A (ja) 2011-03-04 2014-04-21 ノべラス・システムズ・インコーポレーテッド ハイブリッドセラミックシャワーヘッド
JP2014220231A (ja) 2013-02-15 2014-11-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 温度制御機能を備えるマルチプレナムシャワーヘッド
JP2016188424A (ja) 2015-01-12 2016-11-04 ラム リサーチ コーポレーションLam Research Corporation 埋め込み電極を伴うガス分配セラミック板
JP2015015466A5 (ja) 2014-06-26 2017-08-03

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US6407022B1 (en) * 1998-04-29 2002-06-18 The Ohio State University Research Foundation Method for fabricating shaped monolithic ceramics
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
WO2004114728A1 (ja) * 2003-06-20 2004-12-29 Ngk Insulators, Ltd. プラズマ発生電極及びプラズマ発生装置、並びに排気ガス浄化装置
WO2005009919A1 (ja) * 2003-07-29 2005-02-03 Kyocera Corporation Y2o3質焼結体、耐食性部材およびその製造方法並びに半導体・液晶製造装置用部材
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US20080236495A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP2009016782A (ja) * 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP4586831B2 (ja) * 2007-08-08 2010-11-24 Tdk株式会社 セラミックグリーンシート構造、及び、積層セラミック電子部品の製造方法
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
JP4590597B2 (ja) * 2008-03-12 2010-12-01 国立大学法人東北大学 シャワープレートの製造方法
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
CN102953050B (zh) * 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10170282B2 (en) * 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
JP2015095551A (ja) * 2013-11-12 2015-05-18 東京エレクトロン株式会社 シャワーヘッドアセンブリ及びプラズマ処理装置
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10557197B2 (en) * 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US9905400B2 (en) * 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
KR101698433B1 (ko) * 2015-04-30 2017-01-20 주식회사 에이씨엔 기상식각 및 세정을 위한 플라즈마 장치
US10118263B2 (en) * 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001323377A (ja) 2000-03-16 2001-11-22 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
US20030143328A1 (en) 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP2014509783A (ja) 2011-03-04 2014-04-21 ノべラス・システムズ・インコーポレーテッド ハイブリッドセラミックシャワーヘッド
WO2013031800A1 (ja) 2011-08-29 2013-03-07 京セラ株式会社 プラズマ発生体及びプラズマ発生装置
JP2013239707A (ja) 2012-05-11 2013-11-28 Advanced Micro Fabrication Equipment Inc Shanghai ガスシャワーヘッド、その製造方法及び薄膜成長反応装置
JP2014220231A (ja) 2013-02-15 2014-11-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 温度制御機能を備えるマルチプレナムシャワーヘッド
JP2015015466A5 (ja) 2014-06-26 2017-08-03
JP2016188424A (ja) 2015-01-12 2016-11-04 ラム リサーチ コーポレーションLam Research Corporation 埋め込み電極を伴うガス分配セラミック板

Also Published As

Publication number Publication date
KR102584684B1 (ko) 2023-10-04
JP2020529124A (ja) 2020-10-01
KR20200024364A (ko) 2020-03-06
CN110998816A (zh) 2020-04-10
TW201920753A (zh) 2019-06-01
US20190032211A1 (en) 2019-01-31
CN110998816B (zh) 2023-12-01
WO2019023429A3 (en) 2019-02-28
WO2019023429A2 (en) 2019-01-31

Similar Documents

Publication Publication Date Title
JP7292256B2 (ja) モノリシックセラミックガス分配プレート
US11848177B2 (en) Multi-plate electrostatic chucks with ceramic baseplates
US10366865B2 (en) Gas distribution system for ceramic showerhead of plasma etch reactor
US10354843B2 (en) Chemical control features in wafer process equipment
US9934979B2 (en) Gas distribution showerhead for inductively coupled plasma etch reactor
TWI616949B (zh) 混成陶瓷噴淋頭
US8069817B2 (en) Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP2018160462A (ja) 温度制御機能を備えるマルチプレナムシャワーヘッド
TWI662148B (zh) 含矽之氣體分配構件及其製造方法、噴淋頭電極、與半導體基板的處理方法
JP2014220231A5 (ja)
CN112262464A (zh) 包括具有经冷却的面板的喷头的衬底处理室
US11133211B2 (en) Ceramic baseplate with channels having non-square corners
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
TWI835740B (zh) 單片陶瓷氣體分配板

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210719

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210719

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230509

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230606

R150 Certificate of patent or registration of utility model

Ref document number: 7292256

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150