TW201713413A - 抗瞬變噴淋頭 - Google Patents

抗瞬變噴淋頭 Download PDF

Info

Publication number
TW201713413A
TW201713413A TW105116200A TW105116200A TW201713413A TW 201713413 A TW201713413 A TW 201713413A TW 105116200 A TW105116200 A TW 105116200A TW 105116200 A TW105116200 A TW 105116200A TW 201713413 A TW201713413 A TW 201713413A
Authority
TW
Taiwan
Prior art keywords
gas
gas flow
inlet
flow channels
volume
Prior art date
Application number
TW105116200A
Other languages
English (en)
Other versions
TWI689353B (zh
Inventor
旻捧 成
科林 F 史密斯
尚恩 M 荷謬頓
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201713413A publication Critical patent/TW201713413A/zh
Application granted granted Critical
Publication of TWI689353B publication Critical patent/TWI689353B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明揭露用於半導體處理設備的噴淋頭,該噴淋頭包含諸多特徵部,該諸多特徵部係設計成使起因於噴淋頭內氣流瞬變之晶圓表面範圍內的不均勻氣體遞送最小化、或將其消除。

Description

抗瞬變噴淋頭
本揭露內容相關於用於半導體處理設備的噴淋頭,且尤其相關於抗瞬變噴淋頭。
半導體處理工具通常包含設計成以相對均勻之方式於半導體基板或晶圓範圍內分配製程氣體的元件。如此元件在產業內通常稱為「噴淋頭」。噴淋頭典型地包含朝向半導體處理容積的面板,半導體基板或晶圓可在該半導體處理容積中受到處理。面板可包含複數的氣體分配埠,該氣體分配埠容許充氣容積內的氣體流過面板、並進入該基板與該面板之間(或者,支撐該晶圓之晶圓支撐件與該面板之間)的反應空間。在一些情形中,噴淋頭可配置成在半導體基板或晶圓範圍內以同時方式分配兩不同氣體,而在該噴淋頭內使該等氣體彼此隔離。氣體分配埠係典型地排列成使晶圓範圍內的氣體分佈產生實質上均勻的基板處理。
本揭露內容的一實施態樣相關於具有以下者的設備:一第一氣體入口、一第一表面、複數第一氣體分配埠、一第二表面、介設於該第一表面與該第二表面之間的一第三表面、介設於該第三表面與該第二表面之間的一第四表面、以及介設於該第一表面與該第三表面之間的複數第一氣體流動通道。在如此一設備中,第一氣體入口可配置成遞送一第一製程氣體通過第一表面,且第一氣體分配埠可配置成遞送該第一製程氣體通過第二表面。
該設備可具有與該第一氣體入口流體連接的一第一入口充氣容積,該第一入口充氣容積係至少部分地由第一表面及第三表面定義。該設備可進一步具有與該第一氣體分配埠流體連接的一第一氣體分配充氣容積,該第一氣體分配充氣容積係至少部分地由第二表面及第四表面定義。
該第一氣體流動通道可各具有將該第一氣體流動通道與第一入口充氣容積流體連接的一第一末端、及將該第一氣體流動通道與第一氣體分配充氣容積流體連接的一第二末端。每一第一氣體流動通道可具有實質上相同的整體長度、延伸離開該第一末端處的第一入口充氣容積、且在該第一末端與該第二末端之間包含介於140°與200°之間的彎曲,使得該第一氣體流動通道的第二末端係定向成朝向該第一入口充氣容積。
在一些實施例中,每一第一氣體流動通道的第二末端可藉由穿過第四表面的一對應的第一孔與第一氣體分配充氣容積流體連接。每一第一孔可具有標稱孔直徑。在一些實施例中,複數第一上升凸起部可從第二表面往上向第四表面延伸,每一第一上升凸起部係置中於第一孔之一者、且位於該第一孔之該一者下方,並具有標稱凸起部直徑。在一些如此實施例中,每一第一上升凸起部可偏離第四表面介於0.025mm與1.2mm之間的一距離。在其他或額外的如此實施例中,每一第一上升凸起部可偏離第四表面介於該標稱凸起部直徑之1/11與1/13之間的一距離。在又其他額外或替代的如此實施例中,每一第一上升凸起部可偏離第四表面小於該標稱凸起部直徑與該標稱孔直徑之間差值之兩倍、且大於該標稱凸起部直徑與該標稱孔直徑之間差值之0.2倍的一距離。
在一些實施例中,複數第一氣體分配埠的一不同子集可鄰近該複數第一上升凸起部的每一者,且每一第一上升凸起部可置中於該複數第一氣體分配埠中鄰近該第一上升凸起部的第一氣體分配埠之間。
在一些實施例中,複數第一支撐柱可跨距於第二表面與第四表面之間。
在某些實施例中,第一孔可具有介於1.5mm與3mm之間的直徑,以及在某些替代或額外的實施例中,第一凸起部可具有介於5mm與8mm之間的直徑。
在一些實施例中,該設備亦可包含複數第一半島部(peninsula)。每一第一半島部可突出至第一入口充氣容積中,且複數第一氣體流動通道之一或更多者的第二末端可延伸至該複數第一半島部的每一者中。在如此一實施例中,該第一半島部中該第一氣體流動通道的第二末端可比此第一氣體流動通道的第一末端更接近於該第一入口充氣容積的第一中心點。
在一些實施例中,第一氣體流動通道在第一末端與第二末端之間可包含介於150°與190°之間的彎曲。在一些實施例中,第一氣體流動通道的每一者可具有其他第一氣體流動通道之±30%、±20%、±10%、或±5%以內的一長度。
在一些實施例中,第一氣體流動通道的每一者沿其長度方向可具有恆定的橫剖面面積。在一些實施例中,第一氣體流動通道之每一者的第一末端距離該設備的第一軸可為等距。在一些實施例中,該設備可包含介於20與100個之間的第一氣體流動通道。
在一些實施例中,該設備亦可包含:一第二氣體入口、一第五表面、複數第二氣體分配埠、一第六表面、介設於該第五表面與該第六表面之間的一第七表面、介設於該第六表面與該第七表面之間的一第八表面、以及介設於該第五表面與該第七表面之間的複數第二氣體流動通道。在如此實施例中,第二氣體入口可配置成遞送一第二製程氣體通過第五表面,且第二氣體分配埠可配置成遞送該第二製程氣體通過第六表面。
在一些實施例中,該設備可具有與第二氣體入口流體連接的一第二入口充氣容積。該第二入口充氣容積可至少部分地由第五表面及第七表面定義。該設備可更具有與第二氣體分配埠流體連接的第二氣體分配充氣容積,且該第二氣體分配充氣容積可至少部分地由第六表面與第八表面定義。
在一些實施例中,第二氣體流動通道可各具有將該第二氣體流動通道與第二入口充氣容積流體連接的一第一末端、及將該第二氣體流動通道與第二氣體分配充氣容積流體連接的一第二末端。每一第二氣體流動通道可具有實質上相同的整體長度、延伸離開第一末端處的第二入口充氣容積、且在第一末端與第二末端之間包含介於140°與200°之間的彎曲,使得該第二氣體流動通道的第二末端係定向成朝向該第二入口充氣容積。
在一些實施例中,如此設備之每一第一氣體流動通道的第二末端可藉由穿過第四表面的一對應的第一孔與第一氣體分配充氣容積流體連接。每一第一孔可具有標稱孔直徑。在一些情形中,複數第一上升凸起部可從第二表面往上向第四表面延伸,且每一第一上升凸起部可置中於第一孔之一者、且位於該第一孔之該一者下方,並可具有標稱凸起部直徑。在如此一實施例中,每一第二氣體流動通道的第二末端亦可藉由穿過第八表面的一對應的第二孔與第二氣體分配充氣容積流體連接。每一第二孔可具有標稱孔直徑。在一些情形中,複數第二上升凸起部從第六表面往上向第八表面延伸,其中每一第二上升凸起部係置中於該第二孔之一者、且位於該第二孔之該一者下方,並可具有標稱凸起部直徑。
在一些情形中,每一第一上升凸起部可偏離第四表面、及/或每一第二上升凸起部可偏離第八表面一距離,該距離係介於0.025mm與1.2mm之間。在其他或額外情形中,每一第一上升凸起部可偏離第四表面、及/或每一第二上升凸起部可偏離第八表面一距離,該距離係介於各上升凸起部之分別標稱直徑的1/11與1/13之間。在又其他情形中,每一第一上升凸起部可偏離第四表面、及/或每一第二上升凸起部可偏離第八表面一距離,該距離係小於標稱凸起部直徑與分別之標稱孔直徑之間差值的兩倍、且大於標稱凸起部直徑與分別之標稱孔直徑之間差值的0.2倍。
在某些實施例中,該設備可具有一或更多額外的第一氣體入口,且第一入口充氣容積可被分隔成複數的第一入口充氣子容積,該複數的第一入口充氣子容積係各藉由複數第一氣體入口之不同者而饋入。
在某些實施例中,第一入口充氣容積及第一氣體分配充氣容積可介設於第二入口充氣容積與第二氣體分配充氣容積之間。在其他實施例中,第一入口充氣容積及第二氣體分配充氣容積可介設於第二入口充氣容積與第一氣體分配充氣容積之間。
在一些實施例中,該設備中複數第一氣體分配埠的一不同子集係鄰近該複數第一上升凸起部的每一者,且每一第一上升凸起部係置中於鄰近該第一上升凸起部的第一氣體分配埠之間。
在一些實施例中,經改裝設備中複數第二氣體分配埠的一不同子集係鄰近該複數第二上升凸起部的每一者,且每一第二上升凸起部係置中於鄰近該第二上升凸起部的第二氣體分配埠之間。
在某些實施例中,該設備亦可包含跨距於第二表面與第四表面之間的複數第一支撐柱、以及跨距於第六表面與第八表面之間的複數第二支撐柱。
在一些實施例中,該設備亦可包含複數第一半島部,每一第一半島部突出至第一入口充氣容積中,且複數第一氣體流動通道之一或更多者的第二末端延伸至該複數第一半島部的每一者中。在如此一實施例中,第一半島部中第一氣體流動通道的第二末端可比該等第一氣體流動通道的第一末端更接近於第一入口充氣容積的第一中心點。
在一些實施例中,該設備亦可具有複數第二半島部,每一第二半島部突出至第二入口充氣容積中,且複數第二氣體流動通道之一或更多者的第二末端延伸至該複數第二半島部的每一者中。在如此一實施例中,第二半島部中第二氣體流動通道的第二末端可比該等第二氣體流動通道的第一末端更接近於第二入口充氣容積的第二中心點。
在以下描述內容中,提出許多特定的細節,以提供對所呈現之概念的透徹理解。所呈現之概念可在沒有該等特定細節的一些或全部者的情況下實施。在其他情形中,已熟知的製程操作未作詳細描述,以免不必要地模糊所述概念。儘管一些概念將結合特定的實施例加以描述,但將理解該等實施例並非意圖為限制性。
在本應用中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及類似物係可互換使用。半導體裝置產業中所使用之晶圓或基板典型地具有200mm、300mm、或450mm的直徑,但亦可為非圓形、並具有其他尺寸。除半導體晶圓外,可利用本發明之優勢的其他工件包含諸多物件,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡、光學元件、微機械裝置、及類似物。
在本揭露內容之圖式及討論的一些者中可已經採用若干的慣例用法。例如,在許多地方提到「容積」,例如「充氣容積」。該等容積一般可標示在各種圖式中,但吾人應理解,圖式及隨附的數字符號代表如此容積的近似範圍,且實際的容積可延伸至例如界定該容積的各種實體表面。各種較小的容積(例如,通往充氣容積之邊界表面的氣體入口或其他孔)可流體連接至該等充氣容積。
吾人應理解,如「之上」、「在頂部上」、「之下」、「在下方」等相關用語的使用應理解為是指參照以下者之元件的空間關係:該等元件於噴淋頭之正常使用期間的方向、或頁面上圖式的方向。在正常使用中,噴淋頭在基板處理操作期間係典型地定向成向下朝基板分配氣體。
半導體製造通常需要例如沉積及蝕刻氣體的製程氣體以均勻的、或受控制的方式流動於經受處理之半導體晶圓或基板的範圍內。為達此目的,「噴淋頭」(本文中亦稱為氣體分配歧管,且有時亦稱為氣體分配器)可用來在晶圓的表面範圍內分配氣體。當氣體最初流入噴淋頭時,最初的氣流抵達排列於噴淋頭之面板範圍內之氣體分配埠的每一者可能花費不同的時間量,這可能導致噴淋頭之面板範圍內不均勻的氣體分佈。通過噴淋頭之氣流已穩定之後(例如噴淋頭之(複數)充氣容積內的壓力環境已穩定之後),氣流可均勻得多。然而,在最初的瞬變期間,充氣容積內的壓力可能有所波動,並且這可能導致面板範圍內不平衡的流動特性。由於如此瞬變流動的不可預測性,該瞬變流動期間係半導體製程期間典型的「損失」時間。
在長持續時間的半導體製程(例如,具有數百秒或更長循環時間的製程)中,可能為若干秒時間的瞬變期間可能構成整體循環持續時間之相對小的一部分,且因此該「損失」時間可能構成整體循環時間之相對小的部分。然而,在短持續時間的半導體製程(例如,原子層沉積(ALD))中,瞬變期間可能具有顯著得多的影響。例如,在ALD中,秒等級、或十分之一秒等級的氣體遞送時間係常見的,若每一循環亦必須考量起因於瞬變的時間損失,則易見瞬變損失可能如何顯著地延長整體製程時間。
本文中所討論之抗瞬變噴淋頭提供一新機構,用以使瞬變氣流響應最小化、或降低瞬變氣流響應、或就相關循環時間而言,甚至將該瞬變氣流響應從半導體處理系統中整體消除。
一般而言,抗瞬變噴淋頭可配置成具有至少兩充氣部:一氣體入口充氣部及一氣體分配充氣部。該等充氣部的每一者可定義一單獨的充氣容積。如此噴淋頭亦可包含複數氣體流動通道,該複數氣體流動通道在第一末端處與氣體入口充氣容積、且在第二末端處與氣體分配充氣容積流體連接。在許多情形中,分隔板可將氣體入口充氣部與氣體分配充氣部隔開,且氣體流動通道可加工至分隔板的一面中。位於氣體流動通道之第二末端處的複數孔可容許流自入口充氣容積且流入氣體流動通道的氣體隨後穿過分隔板並進入氣體分配充氣容積。氣體流動通道的目的係將來自入口充氣容積之實質上等比例的氣體遞送至氣體分配充氣容積內的分配位置。例如,氣體流動通道的第二末端可排列成複數的同心或近似同心圓形圖案(例如,具有彼此距離幾毫米以內的中心點),從而在各個分配位置處將氣體遞送至氣體分配充氣容積中。因此,一些第二末端可位於氣體分配充氣容積的圓周附近、一些第二末端朝向氣體分配充氣容積的中心、且一些第二末端在該兩個位置之間。
每一氣體流動通道可具有實質上相同的長度(例如,在長度上具有±5%的差異),且沿其長度方向可維持相似的橫剖面輪廓或面積,例如,每一氣體流動通道沿其長度方向可具有恆定的橫剖面面積。每一氣體流動通道亦可包含一或更多彎曲,該等一或更多彎曲使氣體流動通道的方向從第一末端與第二末端之間的某一共同角度最終改變±X度,例如170°±15°、或±20°。舉例而言,該等彎曲可包含170°的單一彎曲、100°及70°的兩彎曲、50°、40°及80°的三彎曲等。每一氣體流動通道中彎曲的數目可相同、或通道與通道之間可有所不同。然而,無論每一通道中有多少彎曲,每一通道的總彎曲角度可在上述限制之內。吾人應理解,對一給定的氣體流動通道而言,「總彎曲角度」係複數彎曲角度之絕對值的總和。因此,若一氣體流動通道經歷向左90°、及隨後向右90°的彎曲,則總彎曲角度將為180°,不是0°。藉由在每一氣體流動通道中包含相同的標稱總彎曲角度、橫剖面積輪廓、及通道長度,可使氣體流動通道呈現實質上類似的流阻,該實質上類似的流阻可導致流過氣體流動通道的氣體以相同的速率流過通道的所有者,甚至在瞬變流動期間亦然。在一些實施例中,總彎曲角度可介於但不限於140°至200°之間,亦即,比上述170°±15°更和緩或更彎曲。
進一步的特性改善可藉由包含從面板往上突向複數孔之複數上升凸起部而獲得,該複數孔將來自氣體流動通道的氣體遞送至氣體分配充氣容積。該等上升凸起部的每一者可置中於複數孔其中對應一者的下方,使得離開該孔的氣體撞擊在該上升凸起部的中心上,導致氣體受到約90°的流向改變,例如,氣流從沿著該孔軸流動改變為在大致上平行於面板的方向上流動。因此,上升凸起部充當用來在整個氣體分配充氣容積範圍內以更均勻之方式進一步分配氣體的「迷你擋板」。
圖1描繪例示性抗瞬變噴淋頭的等視角分解圖。如可見,所示即為抗瞬變噴淋頭100。噴淋頭100包含可用來將第一製程氣體遞送至噴淋頭100的桿部180。該桿部可將氣體提供至第一氣體入口112(或者,桿部180亦可認為是第一氣體入口112)。桿部180可例如透過硬焊(brazed)、擴散結合(diffusion bonded)、熔接(welded)、或螺栓連接而與背板102連接。背板102進而可與第一分隔板108相匹配。第一分隔板108可包含加工於或以其他方式形成於該第一分隔板108中的諸多特徵部,該等特徵部定義第一入口充氣容積142及複數第一氣體流動通道138。第一氣體流動通道138可具有與第一入口充氣容積142流體連接、並沿著第一入口充氣容積142之外圓周而排列的複數第一末端。在第一氣體流動通道138藉由每一第一氣體流動通道138中之上述彎曲而反轉其方向之前,第一氣體流動通道138可大致上從第一入口充氣容積142的外圓周向外輻射。
噴淋頭100亦可包含面板104,該面板104包含在面板104範圍內排列成一圖案的複數第一氣體分配埠134。面板104可匹配至第一分隔板108,使得第一氣體分配充氣容積146形成。第一氣體分配充氣容積146可藉由複數第一氣體流動通道138與第一入口充氣容積142流體連接。
一般而言,第一入口充氣容積142及第一氣體分配充氣容積146可(至少部分地)由諸多主要表面界定。例如,背板102可提供第一表面116,製程氣體可透過該第一表面116而從第一氣體入口112引入、並進入第一入口充氣容積142。第一表面116因此可充當第一入口充氣容積142的一邊界。類似地,面板104可提供第二表面118,製程氣體可透過該第二表面118從第一氣體分配充氣容積146流經第一氣體分配埠134。第二表面118因此可充當第一氣體分配充氣容積146的一邊界。類似地,第一分隔板108可具有可分別地用作第一入口充氣容積142及第一氣體分配充氣容積146之進一步邊界的第三表面120及第四表面122。
吾人應理解,該等表面無需必定地由所述確切元件提供。事實上,在一些實施例中,甚至可能沒有分離的面板、背板、或分隔板。例如,噴淋頭100可例如藉由使用如直接雷射金屬燒結(direct laser metal sintering)之積層製造技術(additive manufacturing technique)而製作為單體結構,或者,若希望是陶瓷噴淋頭,則使用陶瓷燒結製程。在使用複數板件結構的實施例中(例如,所述範例中),可較佳地包含定位銷106或其他類似特徵部,以確保諸多板件正確地排列。吾人應理解,若使用複數板件結構,則形成整體噴淋頭結構的諸多板件可沿其匹配表面硬焊或擴散結合在一起,以防止該等板件之接觸表面之間的氣流。
圖1中亦可見複數第一上升凸起部160,該複數第一上升凸起部160的每一者係定位於第一氣體流動通道138其中一者的第二末端下方。除第一上升凸起部外,可選地包含許多第一支撐柱164。與不接觸第四表面122的第一上升凸起部160不同,第一支撐柱164可對面板104提供結構性支撐及熱傳導路徑,並且因此第一支撐柱164可延伸至第四表面122、並接觸第四表面122(且可硬焊或擴散結合至該第四表面122,以求結構性支撐)。
從實務的觀點來看,可期望在抗瞬變噴淋頭中包含大量的氣體流動通道。然而,當在抗瞬變噴淋頭中包含之氣體流動通道的數目增加時,對應之入口充氣容積的尺寸亦必須增加,以考量沿入口充氣容積的圓周每一氣體流動通道與入口充氣容積之間數目增加的連接。有時,當氣體流動通道的數目增加時,可使入口充氣容積的尺寸擴張至大至足以可期望將複數孔的一些者定位於該氣體入口充氣容積之圓周內的程度,該複數孔將來自氣體流動通道的氣體饋送至氣體分配充氣容積。為了這樣做,在維持每一氣體流動通道之間的流體隔離時,可包含許多半島部(peninsula)。每一半島部可從入口充氣容積的標稱最外圓周突出至該入口充氣容積中。每一半島部可包含可用來遞送氣體至如此位置的一或更多氣體流動通道。
圖2描繪圖1之例示性抗瞬變噴淋頭之第一分隔板108的俯視圖。如該圖中更詳細可見,每一第一氣體流動通道138具有沿該通道之長度方向發生於某位置點處的一彎曲154。再者,每一第一氣體流動通道138具有與其他第一氣體流動通道138實質上相同的長度,在該情形中,約170mm±5%。為達說明之目的,此範例中第一氣體流動通道138係約2mm寬。每一第一氣體流動通道138係在第一末端150處與第一入口充氣容積142流體連接、並藉由第一孔156在第二末端152處流體連接至第一氣體分配充氣容積146。如可見,複數第一孔156其中六者係位於第一入口充氣容積142之最外圓周以內。提供氣體至該等第一孔156的第一氣體流動通道138係藉由半島部168而與第一入口充氣容積142分隔開,該半島部168延伸至第一入口充氣容積142內。如噴淋頭100中可見,從第一入口充氣容積142向外輻射的第一氣體流動通道138有六十個。若第一入口充氣容積係定尺寸為位於第一孔156的最內側圖案(位於半島部168內之第一孔156)以內,則如此大量的第一氣體流動通道138將無法(以所示通道寬度)沿第一入口充氣容積142之外圓周而適配。
圖3描繪圖1之例示性抗瞬變噴淋頭之面板104的俯視圖。如可見,第一上升凸起部160係以相對分散的方式排列於第一氣體分配充氣容積146的整個範圍內。
圖4描繪圖1之例示性抗瞬變噴淋頭的等視角切開圖。如可見,每一第一孔156係定位於一對應的第一上升凸起部160的正上方。通過第一氣體入口112流入第一入口充氣容積142的氣體可大致上在相同的時間抵達第一氣體流動通道138之所有者的第一末端150,且可藉由標稱上相同長度、並沿其長度方向具有標稱上相同總彎曲角度及橫剖面的第一氣體流動通道138,在大致上相同的時間抵達第一氣體流動通道138的第二末端152。這具有以下結果:幾乎同時地在複數位置點(例如,每一第一孔156)將氣體引入第一氣體分配充氣容積。第一上升凸起部160可用來在第一氣體分配充氣容積146的整個範圍內進一步分配氣體,使得氣體以大致上均勻的方式流過第一氣體分配埠134,甚至在噴淋頭100內的氣流尚未達到穩態時亦然。
圖5描繪圖1之例示性抗瞬變噴淋頭的剖面圖。該圖描繪諸多板件(例如,面板104、背板102、及第一分隔板108)、以及第一表面116、第二表面118、第三表面120、及第四表面122。圖5亦標示出於圖6中更詳細顯示的圓圈區域。
圖6描繪圖5之部分的細節圖。該細節圖顯示第一氣體流動通道138其中一者的第二末端152、以及將該第一氣體流動通道138流體連接至第一氣體分配充氣容積146的第一孔156。亦於圖6中進行描繪的是跨距於第二表面118與第四表面122之間的第一支撐柱164。亦可見兩個第一上升凸起部160,其中包含在第一孔156正下方的一者。明顯地,第一孔156之下的第一上升凸起部160係置中於第一孔156下方。再者,第一間隙176存在於第一上升凸起部160與第四表面122之間。在所述範例中,第一孔156具有2mm的直徑、第一上升凸起部160具有6.5mm的直徑、且第一間隙176為0.5mm。在若干實施例中,第一間隙176可為第一上升凸起部160之標稱直徑的函數,且在一些如此實施例中,第一間隙176可在從上升凸起部標稱直徑之1/11至上升凸起部標稱直徑之1/13的範圍中,例如,約上升凸起部直徑的1/12。在其他實施例中,第一間隙176可為第一上升凸起部160之標稱直徑及第一孔156之直徑的函數,例如,第一間隙176可加以選定而使得第一間隙176除以第一上升凸起部160直徑與第一孔156直徑之間差值的兩倍係介於0.1與1之間。
之前範例係針對僅提供單一製程氣體之流動的噴淋頭100。如討論,本文中所討論的概念亦可適用於複數流或複數充氣部噴淋頭。該概念係於以下參照配置成同時使兩製程氣體流動之噴淋頭更詳細地加以討論。該雙流範例中之結構的許多者與之前參照單流噴淋頭100所討論之結構相對應。為避免冗長,該等元件於以下可能不再描述。在如此情形中,噴淋頭100中類似結構之先前的論述可作為參考,以便說明。噴淋頭100與以下所討論之雙流噴淋頭之間類似的元件可共享其圖式參考數字之共同的後兩位。
圖7描繪例示性抗瞬變、雙充氣部噴淋頭的等視角分解圖。如可見,該噴淋頭提供容許兩單獨氣體供應至噴淋頭700的桿部780。該桿部可連接至背板702。桿部780可包含兩組通道,一組包含沿桿部780之中心方向通過的一通道、且另一組包含介設於該中心通道與外套筒(所示桿部780的下部分)之間的環形陣列通道。在此範例中,桿部中的環形陣列氣體流動通道為第一氣體入口712(圍繞背板702之中心孔排列的六個孔)提供氣體、且中心氣體流動通道為第二氣體入口714(背板702中的中心孔)提供氣體。噴淋頭700亦可包含第一分隔板708、第二分隔板710、擋板778及面板704。
圖8描繪圖7之例示性抗瞬變、雙充氣部噴淋頭之第一分隔板的俯視圖。第一分隔板708非常類似於第一分隔板108,但具有至少兩處差異。例如,具有位於第一入口充氣容積742之中心處的一上升中心凸起部782。該上升中心凸起部782可用於減小第一入口充氣容積742的尺寸,以減少使第一製程氣體流過第一入口充氣容積所花費的時間量,以及在一些實施例中,該上升中心凸起部782亦可有助於使來自充當第一氣體入口712之六個埠口的氣流均勻。在一些實施例中,第一入口充氣容積可分隔成複數的第一入口充氣子容積,每一者係藉由複數第一氣體入口其中不同的一者而饋入。另一差異係具有通過第一分隔板708的許多第二孔758。
圖9描繪圖7之例示性抗瞬變、雙充氣部噴淋頭之擋板的俯視圖。在此實施例中,除第一支撐柱764數目更多且與第一支撐柱164呈不同排列以外,擋板778係非常類似於面板104。如從圖7可見,每一第一支撐柱764在位置上對應於第一分隔板708中複數第二孔758的一者,且對應的第二孔758持續行進通過第一支撐柱764。因此,第一支撐柱764不僅提供第一分隔板708與擋板778之間的結構性支撐及熱傳導路徑,而且提供氣體流過擋板的通路,該擋板使如此氣體與處於第一氣體分配充氣容積746之內的氣體保持隔離。如同面板104,擋板778可包含複數第一上升凸起部760,該複數第一上升凸起部760係各定位於第一孔756(之後顯示)的下方,該第一孔756將第一氣體流動通道738的一者與第一氣體分配充氣容積746流體連接。如同面板104,擋板778可包含複數第一氣體分配埠734,該複數第一氣體分配埠734可將來自第一氣體分配充氣容積746的氣體供應至第一氣體分配充氣部正下方的第二氣體分配充氣容積、或至噴淋頭700下方的晶圓處理區域。
圖10描繪圖7之例示性抗瞬變、雙充氣部噴淋頭之第二分隔板的俯視圖。第二分隔板710可發揮類似於第一分隔板708的功用,但相關於第二氣體入口714。如可見,複數的、實質上等長度的第二氣體流動通道740經由第二孔758將第二入口充氣容積744與第二氣體分配充氣容積748(見圖11)流體連接。在此範例中,第二氣體分配充氣容積748係形成於擋板778與面板704之間的充氣容積。在此情形中、且如同第一氣體流動通道738,第二氣體流動通道740可具有與第二入口充氣容積744相連接的第一末端750、及與第二孔758流體連接的第二末端752。如可見,每一第二氣體流動通道740亦可包含一彎曲754,該彎曲754可類似於第一氣體流動通道738中的彎曲754,然而,如可見,彎曲角度可更為和緩。類似於第一入口充氣容積742中的第一半島部768,第二入口充氣容積744亦可包含複數第二半島部770,該複數第二半島部770容許第二孔758的一些者位於該第二入口充氣容積744的外圓周以內。
圖11描繪圖7之例示性抗瞬變、雙充氣部噴淋頭之面板的俯視圖。面板704可包含複數第二氣體分配埠736及複數第一氣體分配埠734。第二氣體分配充氣容積748可形成於面板704與擋板778之間,並且可包含形成一圖案的第二上升凸起部762,該第二上升凸起部762用作第二孔758的迷你擋板,就像第一上升凸起部760對第一孔756所為。
在一些實施例中,擋板778中之每一第一氣體分配埠734可藉由管狀結構784流體連接至面板704中對應之第一氣體分配埠734,該管狀結構784將噴淋頭700內流過第一氣體分配埠734的氣體與流過第二氣體分配充氣容積748的氣體隔離開。
圖12描繪圖7之例示性抗瞬變、雙充氣部噴淋頭的等視角切開圖,並且可提供對噴淋頭700之結構的更深入了解。
類似於第一入口充氣容積742及第一氣體分配充氣容積746,第二入口充氣容積744及第二氣體分配充氣容積748亦可由諸多表面界定。該等表面係標示於圖7中。例如,第二入口充氣容積744可(部分地)由第五表面724及第七表面728界定,而第二氣體分配充氣容積748可(部分地)由第六表面726及第八表面730界定。
在複數充氣部噴淋頭中,入口充氣部相關於氣體分配充氣部的定位可依任何特定設計所需重新排序,其不必呈所述排列。例如,在所述實施例中,第一入口充氣容積及第一氣體分配充氣容積係定位於第二入口充氣容積與第二氣體分配充氣容積之間。然而,在其他實施例中,該排序可有所改變。藉由非限制性範例的方式,以下順序的任何者亦可用於此概念的諸多實施例中: 在如此情形中,具有複數第二氣體充氣容積介設於其之間的複數第一氣體充氣容積可藉由以下方式而流體連接:使流體連接該複數第一氣體充氣部的複數孔(例如,第一孔)穿過第二氣體的複數氣流通道之間、或穿過該(複數)第二氣體充氣部內的支撐柱。
100‧‧‧噴淋頭
102‧‧‧背板
104‧‧‧面板
106‧‧‧定位銷
108‧‧‧第一分隔板
112‧‧‧第一氣體入口
116‧‧‧第一表面
118‧‧‧第二表面
120‧‧‧第三表面
122‧‧‧第四表面
134‧‧‧第一氣體分配埠
138‧‧‧第一氣體流動通道
142‧‧‧第一入口充氣容積
146‧‧‧第一氣體分配充氣容積
150‧‧‧第一末端
152‧‧‧第二末端
154‧‧‧彎曲
156‧‧‧第一孔
160‧‧‧第一上升凸起部
164‧‧‧第一支撐柱
168‧‧‧半島部
176‧‧‧第一間隙
180‧‧‧桿部
700‧‧‧噴淋頭
702‧‧‧背板
704‧‧‧面板
708‧‧‧第一分隔板
710‧‧‧第二分隔板
712‧‧‧第一氣體入口
714‧‧‧第二氣體入口
716‧‧‧第一表面
718‧‧‧第二表面
720‧‧‧第三表面
722‧‧‧第四表面
724‧‧‧第五表面
726‧‧‧第六表面
728‧‧‧第七表面
730‧‧‧第八表面
734‧‧‧第一氣體分配埠
736‧‧‧第二氣體分配埠
738‧‧‧第一氣體流動通道
740‧‧‧第二氣體流動通道
742‧‧‧第一入口充氣容積
744‧‧‧第二入口充氣容積
746‧‧‧第一氣體分配充氣容積
748‧‧‧第二氣體分配充氣容積
750‧‧‧第一末端
752‧‧‧第二末端
754‧‧‧彎曲
756‧‧‧第一孔
758‧‧‧第二孔
760‧‧‧第一上升凸起部
762‧‧‧第二上升凸起部
764‧‧‧第一支撐柱
770‧‧‧第二半島部
778‧‧‧擋板
780‧‧‧桿部
782‧‧‧上升中心凸起部
784‧‧‧管狀結
圖1描繪例示性抗瞬變噴淋頭的等視角分解圖。
圖2描繪圖1之例示性抗瞬變噴淋頭之第一分隔板的俯視圖。
圖3描繪圖1之例示性抗瞬變噴淋頭之面板的俯視圖。
圖4描繪圖1之例示性抗瞬變噴淋頭的等視角切開圖。
圖5描繪圖1之例示性抗瞬變噴淋頭的剖面圖。
圖6描繪圖5之部分的細節圖。
圖7描繪例示性抗瞬變、雙充氣部噴淋頭的等視角分解圖。
圖8描繪圖7之例示性抗瞬變、雙充氣部噴淋頭之第一分隔板的俯視圖。
圖9描繪圖7之例示性抗瞬變、雙充氣部噴淋頭之擋板的俯視圖。
圖10描繪圖7之例示性抗瞬變、雙充氣部噴淋頭之第二分隔板的俯視圖。
圖11描繪圖7之例示性抗瞬變、雙充氣部噴淋頭之面板的俯視圖。
圖12描繪圖7之例示性抗瞬變、雙充氣部噴淋頭的等視角切開圖。
圖1至12在每一圖式中係依比例繪製,然而圖與圖之間的比例可有所不同。
100‧‧‧噴淋頭
102‧‧‧背板
104‧‧‧面板
106‧‧‧定位銷
108‧‧‧第一分隔板
112‧‧‧第一氣體入口
116‧‧‧第一表面
118‧‧‧第二表面
120‧‧‧第三表面
122‧‧‧第四表面
134‧‧‧第一氣體分配埠
138‧‧‧第一氣體流動通道
142‧‧‧第一入口充氣容積
146‧‧‧第一氣體分配充氣容積
160‧‧‧第一上升凸起部
164‧‧‧第一支撐柱
168‧‧‧半島部
180‧‧‧桿部

Claims (15)

  1. 一種用於半導體處理的設備,包含: 一第一氣體入口; 一第一表面,其中該第一氣體入口係配置成遞送一第一製程氣體通過該第一表面; 複數第一氣體分配埠; 一第二表面,其中該複數第一氣體分配埠係配置成遞送該第一製程氣體通過該第二表面; 一第三表面,其係介設於該第一表面與該第二表面之間; 一第四表面,其係介設於該第三表面與該第二表面之間;以及 複數第一氣體流動通道,其係介設於該第一表面與該第三表面之間,其中: 該第一表面與該第三表面至少部分地定義與該第一氣體入口流體連接的一第一入口充氣容積, 該第二表面與該第四表面至少部分地定義與該複數第一氣體分配埠流體連接的一第一氣體分配充氣容積, 該複數第一氣體流動通道各具有將該複數第一氣體流動通道之一者與該第一入口充氣容積流體連接的一第一末端、及將該複數第一氣體流動通道之該一者與該第一氣體分配充氣容積流體連接的一第二末端,且 每一第一氣體流動通道具有實質上相同的整體長度、延伸離開該第一末端處的該第一入口充氣容積、且在該第一末端與該第二末端之間包含介於140°與200°之間的彎曲,使得該第一氣體流動通道的第二末端係定向成朝向該第一入口充氣容積。
  2. 如申請專利範圍第1項之用於半導體處理的設備,其中: 每一第一氣體流動通道的第二末端係藉由穿過該第四表面的一對應的第一孔與該第一氣體分配充氣容積流體連接, 複數第一上升凸起部從該第二表面往上向該第四表面延伸, 每一第一上升凸起部係置中於該等第一孔之一者、且位於該第一孔之該一者下方,以及 每一第一上升凸起部係偏離該第四表面介於0.025mm與1.2mm之間的一距離。
  3. 如申請專利範圍第1項之用於半導體處理的設備,其中: 每一第一氣體流動通道的第二末端係藉由穿過該第四表面的一對應的第一孔與該第一氣體分配充氣容積流體連接, 複數第一上升凸起部從該第二表面往上向該第四表面延伸, 每一第一上升凸起部係置中於該等第一孔之一者、且位於該第一孔之該一者下方,且具有一標稱直徑,以及 每一第一上升凸起部係偏離該第四表面介於該標稱直徑之1/11與1/13之間的一距離。
  4. 如申請專利範圍第1項之用於半導體處理的設備,其中: 每一第一氣體流動通道的第二末端係藉由穿過該第四表面的一對應的第一孔與該第一氣體分配充氣容積流體連接, 每一第一孔具有一標稱孔直徑, 複數第一上升凸起部從該第二表面往上向該第四表面延伸, 每一第一上升凸起部係置中於該等第一孔之一者、且位於該第一孔之該一者下方,且具有一標稱凸起部直徑,以及 每一第一上升凸起部係偏離該第四表面一距離,該距離係小於該標稱凸起部直徑與該標稱孔直徑之間差值的兩倍、且大於該標稱凸起部直徑與該標稱孔直徑之間差值的0.2倍。
  5. 如申請專利範圍第2至4項之任一項之用於半導體處理的設備,其中該複數第一氣體分配埠的一不同子集係鄰近該複數第一上升凸起部的每一者,且每一第一上升凸起部係置中於該複數第一氣體分配埠中鄰近該第一上升凸起部的第一氣體分配埠之間。
  6. 如申請專利範圍第2至4項之任一項之用於半導體處理的設備,更包含複數第一支撐柱,其中該複數第一支撐柱跨距於該第二表面與該第四表面之間。
  7. 如申請專利範圍第2至4項之任一項之用於半導體處理的設備,其中該等第一孔具有介於1.5mm與3mm之間的直徑。
  8. 如申請專利範圍第2至4項之任一項之用於半導體處理的設備,其中該複數第一上升凸起部具有介於5mm與8mm之間的直徑。
  9. 如申請專利範圍第1至4項之任一項之用於半導體處理的設備,更包含複數第一半島部(peninsula),其中: 該第一入口充氣容積具有一第一中心點, 每一第一半島部突出至該第一入口充氣容積中, 該複數第一氣體流動通道之一或更多者的第二末端延伸至該複數第一半島部的每一者中,以及 該複數第一半島部中第一氣體流動通道的第二末端比該第一氣體流動通道的第一末端更接近於該第一中心點。
  10. 如申請專利範圍第1至4項之任一項之用於半導體處理的設備,其中每一第一氣體流動通道在第一末端與第二末端之間包含介於150°與190°之間的彎曲。
  11. 如申請專利範圍第1至4項之任一項之用於半導體處理的設備,其中該複數第一氣體流動通道的每一者具有選自以下者組成之群組之範圍內的一長度:其他第一氣體流動通道之長度的±5%、其他第一氣體流動通道之長度的±10%、其他第一氣體流動通道之長度的±20%、及其他第一氣體流動通道之長度的±30%。
  12. 如申請專利範圍第1至4項之任一項之用於半導體處理的設備,其中該複數第一氣體流動通道的每一者沿其長度方向具有一恆定的橫剖面面積。
  13. 如申請專利範圍第1至4項之任一項之用於半導體處理的設備,其中該複數第一氣體流動通道之每一者的第一末端距離該設備之一第一軸為等距。
  14. 如申請專利範圍第1至4項之任一項之用於半導體處理的設備,其中該設備包含介於20與100個之間的第一氣體流動通道。
  15. 如申請專利範圍第1至4項之任一項之用於半導體處理的設備,更包含: 一第二氣體入口; 一第五表面,其中該第二氣體入口係配置成遞送一第二製程氣體通過該第五表面; 複數第二氣體分配埠; 一第六表面,其中該複數第二氣體分配埠係配置成遞送該第二製程氣體通過該第六表面; 一第七表面,其係介設於該第五表面與該第六表面之間; 一第八表面,其係介設於該第六表面與該第七表面之間;以及 複數第二氣體流動通道,其係介設於該第五表面與該第七表面之間,其中: 該第五表面與該第七表面至少部分地定義與該第二氣體入口流體連接的一第二入口充氣容積, 該第六表面與該第八表面至少部分地定義與該複數第二氣體分配埠流體連接的一第二氣體分配充氣容積, 該複數第二氣體流動通道各具有將該複數第二氣體流動通道之一者與該第二入口充氣容積流體連接的一第一末端、及將該複數第二氣體流動通道之該一者與該第二氣體分配充氣容積流體連接的一第二末端,以及 每一第二氣體流動通道具有實質上相同的整體長度、延伸離開該第一末端處的該第二入口充氣容積、且在該第一末端與該第二末端之間包含介於140°與200°之間的彎曲,使得該第二氣體流動通道的第二末端係定向成朝向該第二入口充氣容積。
TW105116200A 2015-05-26 2016-05-25 用於半導體處理之設備 TWI689353B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562166612P 2015-05-26 2015-05-26
US62/166,612 2015-05-26
US15/163,594 2016-05-24
US15/163,594 US10023959B2 (en) 2015-05-26 2016-05-24 Anti-transient showerhead

Publications (2)

Publication Number Publication Date
TW201713413A true TW201713413A (zh) 2017-04-16
TWI689353B TWI689353B (zh) 2020-04-01

Family

ID=57398130

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105116200A TWI689353B (zh) 2015-05-26 2016-05-25 用於半導體處理之設備

Country Status (4)

Country Link
US (2) US10023959B2 (zh)
KR (2) KR102511464B1 (zh)
CN (2) CN109536924B (zh)
TW (1) TWI689353B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI810272B (zh) * 2018-04-08 2023-08-01 美商應用材料股份有限公司 具有交錯的氣體供給和去除之氣體分配模組及使用方法
TWI835740B (zh) * 2017-07-28 2024-03-21 美商蘭姆研究公司 單片陶瓷氣體分配板

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
CN114586130A (zh) * 2019-10-14 2022-06-03 朗姆研究公司 双充气室分形喷头
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
KR20240068730A (ko) * 2021-09-30 2024-05-17 엔테그리스, 아이엔씨. 코팅된 표면을 갖는 적층 제조된 물품 및 관련 방법
WO2024118574A1 (en) * 2022-12-02 2024-06-06 Lam Research Corporation Extreme low volume showerheads with dual distribution spokes and high-density holes
WO2024129270A1 (en) * 2022-12-16 2024-06-20 Lam Research Corporation Showerhead for substrate processing tool

Family Cites Families (427)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
BE628052A (zh) 1962-03-15
US4315173A (en) 1980-03-30 1982-02-09 Westinghouse Electric Corp. Dynamoelectric machines segmental air gap baffle assembly
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4647512A (en) 1986-03-20 1987-03-03 The Perkin-Elmer Corporation Diamond-like carbon films and process for production thereof
US4671204A (en) 1986-05-16 1987-06-09 Varian Associates, Inc. Low compliance seal for gas-enhanced wafer cooling in vacuum
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
JP3147392B2 (ja) 1991-03-04 2001-03-19 宇部サイコン株式会社 熱可塑性樹脂組成物
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH05267186A (ja) 1992-03-18 1993-10-15 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
JP3120302B2 (ja) 1992-04-30 2000-12-25 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造法
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP2870719B2 (ja) 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
CH687258A5 (de) 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
CA2186587C (en) 1994-03-29 2004-05-18 Martin Heming Pcvd process and device for coating domed substrates
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5855465A (en) 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5728260A (en) 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP4048387B2 (ja) 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
JP3208376B2 (ja) 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6150544A (en) 1998-06-30 2000-11-21 Japan Polyolefins Co., Ltd. Transition metal compound and catalyst containing the same for polymerization of olefins
KR100343134B1 (ko) 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP3320387B2 (ja) 1998-09-07 2002-09-03 キヤノン株式会社 電子源の製造装置及び製造方法
US6191011B1 (en) 1998-09-28 2001-02-20 Ag Associates (Israel) Ltd. Selective hemispherical grain silicon deposition
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP4149051B2 (ja) 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6241825B1 (en) 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245149B1 (en) 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6539963B1 (en) 1999-07-14 2003-04-01 Micron Technology, Inc. Pressurized liquid diffuser
US6419751B1 (en) 1999-07-26 2002-07-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6205869B1 (en) * 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
DE60003850T2 (de) 1999-12-22 2004-03-11 Aixtron Ag Cvd reaktor und prozesskammer dafür
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
JP3953247B2 (ja) 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP3654142B2 (ja) 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
JP3483537B2 (ja) 2000-03-06 2004-01-06 キヤノン株式会社 画像表示装置の製造方法
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6455098B2 (en) 2000-03-09 2002-09-24 Semix Incorporated Wafer processing apparatus and method
US6848961B2 (en) 2000-03-16 2005-02-01 Canon Kabushiki Kaisha Method and apparatus for manufacturing image displaying apparatus
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP3667256B2 (ja) 2000-06-30 2005-07-06 キヤノン株式会社 電子源の製造装置
EP1303870A2 (en) 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
JP3793014B2 (ja) 2000-10-03 2006-07-05 キヤノン株式会社 電子源の製造装置、電子源の製造方法及び画像形成装置の製造方法
AU2002211730A1 (en) 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
AU2002241496A1 (en) 2000-11-20 2002-06-18 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
EP1361604B1 (en) 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR100776843B1 (ko) 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP2002260218A (ja) 2001-03-05 2002-09-13 Anelva Corp 磁気記録ディスク、磁気記録ディスク製造方法及び磁気記録ディスク製造装置
US6939206B2 (en) 2001-03-12 2005-09-06 Asm Nutool, Inc. Method and apparatus of sealing wafer backside for full-face electrochemical plating
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
JP5079949B2 (ja) 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6534802B1 (en) 2001-05-07 2003-03-18 Newport Fab, Llc Method for reducing base to collector capacitance and related structure
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6551339B2 (en) 2001-08-23 2003-04-22 Stas Gavronsky Acupuncture device with improved needle guide tube
US20030047282A1 (en) 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US20030070760A1 (en) 2001-10-15 2003-04-17 Plasmion Corporation Method and apparatus having plate electrode for surface treatment using capillary discharge plasma
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
TWI291487B (en) 2002-01-08 2007-12-21 Chi Mei Optoelectronics Corp Red-emitting organic electroluminescent compound
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6586349B1 (en) 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP2003271218A (ja) 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US7208195B2 (en) 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7473377B2 (en) 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
KR20050110613A (ko) 2002-12-23 2005-11-23 어플라이드 씬 필름스 인코포레이티드 알루미늄 포스페이트 코팅
CN100419939C (zh) 2003-01-21 2008-09-17 佳能株式会社 通电处理方法和电子源衬底的制造方法
US7225820B2 (en) 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
JP4591651B2 (ja) 2003-02-27 2010-12-01 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
KR100490049B1 (ko) 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20040261712A1 (en) 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
DE112004000057B4 (de) 2003-05-27 2008-09-25 Matsushita Electric Works, Ltd., Kadoma Plasmabehandlungsapparat und Plasmabehandlungsverfahren
US20050178336A1 (en) 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20070248515A1 (en) 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7700155B1 (en) 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027611A1 (en) 2004-07-23 2006-02-09 Hobbs Wade T Baffled water bottle
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
WO2006020424A2 (en) 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
JP2006066272A (ja) 2004-08-27 2006-03-09 Canon Inc 画像表示装置
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100790392B1 (ko) 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
DE102005056324A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
CN101003895B (zh) * 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7670432B2 (en) 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US7883632B2 (en) 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
JP2008047869A (ja) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
JP2008027796A (ja) 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008066413A (ja) 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
JP2008088228A (ja) * 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20080299326A1 (en) 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
KR20090078538A (ko) 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
USD593640S1 (en) 2008-01-31 2009-06-02 Hansgrohe Ag Showerhead
JP5230225B2 (ja) 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US20090260571A1 (en) 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5026373B2 (ja) 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
JP2010084190A (ja) 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
WO2010101369A2 (ko) 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP4576466B2 (ja) 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101112974B1 (ko) 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
KR101598332B1 (ko) 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
WO2011011532A2 (en) 2009-07-22 2011-01-27 Applied Materials, Inc. Hollow cathode showerhead
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP4840832B2 (ja) 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
KR101430744B1 (ko) * 2010-06-21 2014-08-18 세메스 주식회사 박막 증착 장치
CN102971449B (zh) 2010-07-12 2015-01-14 株式会社爱发科 成膜装置
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
KR101896607B1 (ko) 2010-10-19 2018-09-07 어플라이드 머티어리얼스, 인코포레이티드 나노큐어 자외선 챔버용 석영 샤워헤드
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US20120227665A1 (en) 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6136613B2 (ja) 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN103521956A (zh) * 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) * 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835740B (zh) * 2017-07-28 2024-03-21 美商蘭姆研究公司 單片陶瓷氣體分配板
TWI810272B (zh) * 2018-04-08 2023-08-01 美商應用材料股份有限公司 具有交錯的氣體供給和去除之氣體分配模組及使用方法

Also Published As

Publication number Publication date
KR20160138911A (ko) 2016-12-06
US20160348242A1 (en) 2016-12-01
US10494717B2 (en) 2019-12-03
CN109536924B (zh) 2022-04-05
CN109536924A (zh) 2019-03-29
TWI689353B (zh) 2020-04-01
US20180340256A1 (en) 2018-11-29
KR102511464B1 (ko) 2023-03-16
US10023959B2 (en) 2018-07-17
CN106191814B (zh) 2018-10-26
CN106191814A (zh) 2016-12-07
KR20230042234A (ko) 2023-03-28

Similar Documents

Publication Publication Date Title
TW201713413A (zh) 抗瞬變噴淋頭
CN109594061B (zh) 用于半导体处理的气体分配喷头
US11264213B2 (en) Chemical control features in wafer process equipment
TWI797332B (zh) 具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器
JP4142545B2 (ja) ガス供給装置
KR101037461B1 (ko) 기판탑재대, 기판 처리 장치, 및 온도 제어 방법
TW201836440A (zh) 用以傳輸自由基與前驅物氣體至下游腔室以致能遠程電漿膜沉積之具有熱控制的整合式噴淋頭
US20090211707A1 (en) Apparatus for gas distribution and its applications
CN106167895A (zh) 用于改善流动均匀性的具有面板孔的低体积喷头
KR102544885B1 (ko) 구성 독립된 가스 전달 시스템
TWI744323B (zh) 具有不同的加熱器跡線材料之層疊式加熱器
JP2014012891A5 (ja) 基板処理システム及び原子層蒸着システム
TW201812081A (zh) 用以降低基板處理系統中之再循環之套管、圓錐狀噴淋頭及/或頂板
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
JP4971376B2 (ja) 気体噴射モジュール
TW201840895A (zh) 氣體處理裝置及氣體處理方法
JP2012129547A (ja) 基板載置台、基板処理装置、および温度制御方法
KR20220067002A (ko) 듀얼 플레넘 프랙탈 샤워헤드
TW202336801A (zh) 具有用於自由基物種輸送的孔尺寸之噴淋頭
KR20190078384A (ko) 용사 코팅 장치
JPH0729818A (ja) 基板処理装置
KR20170010613A (ko) 샤워헤드 및 이를 구비하는 원자층 증착장치