KR20160138911A - 과도현상 방지 샤워헤드 - Google Patents

과도현상 방지 샤워헤드 Download PDF

Info

Publication number
KR20160138911A
KR20160138911A KR1020160063881A KR20160063881A KR20160138911A KR 20160138911 A KR20160138911 A KR 20160138911A KR 1020160063881 A KR1020160063881 A KR 1020160063881A KR 20160063881 A KR20160063881 A KR 20160063881A KR 20160138911 A KR20160138911 A KR 20160138911A
Authority
KR
South Korea
Prior art keywords
gas
gas flow
plenum volume
flow passages
gas distribution
Prior art date
Application number
KR1020160063881A
Other languages
English (en)
Other versions
KR102511464B1 (ko
Inventor
에드워드 성
콜린 에프. 스미스
숀 엠. 해밀턴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160138911A publication Critical patent/KR20160138911A/ko
Priority to KR1020230033201A priority Critical patent/KR20230042234A/ko
Application granted granted Critical
Publication of KR102511464B1 publication Critical patent/KR102511464B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

샤워헤드 내의 가스 플로우 과도 현상들에 기인하는 웨이퍼의 표면에 걸친 불균일한 가스 전달을 최소화하거나 제거하도록 설계된 다양한 피처들을 포함하는, 반도체 프로세싱 장비를 위한 샤워헤드들이 개시된다.

Description

과도현상 방지 샤워헤드{ANTI-TRANSIENT SHOWERHEAD}
반도체 프로세싱 툴들은 종종 반도체 기판 또는 웨이퍼에 걸쳐 상대적으로 고른 방식으로 프로세스 가스들을 분배하도록 설계된 컴포넌트들 (components) 을 포함한다. 이러한 컴포넌트들은 흔히 산업계에서 "샤워헤드들"로서 지칭된다. 샤워헤드들은 통상적으로 반도체 기판들 또는 웨이퍼들이 프로세싱될 수도 있는 반도체 프로세싱 볼륨과 대면하는 대면 플레이트를 포함한다. 대면 플레이트는 플레넘 볼륨 내의 가스로 하여금 대면 플레이트를 통해 그리고 기판과 대면 플레이트 사이 (또는 웨이퍼를 지지하는 웨이퍼 지지부와 대면 플레이트 사이) 의 반응 공간 내로 흐르게 하는 복수의 가스 분배 포트들을 포함할 수도 있다. 일부 예들에서, 샤워헤드는 샤워헤드 내에서 가스들이 서로 격리되는 동안 동시의 방식으로 반도체 기판 또는 웨이퍼에 걸쳐 2개의 상이한 가스들을 분배하도록 구성될 수도 있다. 가스 분배 포트들은 통상적으로 웨이퍼에 걸친 가스 분포가 실질적으로 균일한 기판 프로세싱을 발생시키도록 배치된다.
본 개시의 일 양태는 제 1 가스 유입부, 제 1 표면, 복수의 제 1 가스 분배 포트들. 제 2 표면, 제 1 표면과 제 2 표면 사이에 개재된 제 3 표면, 제 3 표면과 제 2 표면 사이에 개재된 제 4 표면, 및 제 1 표면과 제 3 표면 사이에 개재된 복수의 제 1 가스 플로우 통로들을 가진 장치에 관한 것이다. 이러한 장치에서, 제 1 가스 유입부는 제 1 표면을 통해 제 1 프로세스 가스를 전달하도록 구성될 수도 있고 그리고 제 1 가스 분배 포트들은 제 2 표면을 통해 제 1 프로세스 가스를 전달하도록 구성될 수도 있다.
장치는 제 1 가스 유입부와 유체적으로 (fluidically) 연결되는 제 1 유입부 플레넘 볼륨을 가질 수도 있고, 제 1 유입부 플레넘 볼륨은 제 1 표면과 제 3 표면에 의해 적어도 부분적으로 규정된다. 장치는 제 1 가스 유입부와 유체적으로 연결되는 제 1 유입부 플레넘 볼륨을 가질 수도 있고, 제 1 유입부 플레넘 볼륨은 제 1 표면과 제 3 표면에 의해 적어도 부분적으로 규정된다. 장치는 제 1 가스 분배 포트들과 유체적으로 연결되는 제 1 가스 분배 플레넘 볼륨을 더 가질 수도 있고, 제 1 가스 분배 플레넘 볼륨은 제 2 표면과 제 4 표면에 의해 적어도 부분적으로 규정된다.
상기 제 1 가스 플로우 통로들 각각은 제 1 가스 플로우 통로를 제 1 유입부 플레넘 볼륨과 유체적으로 연결시키는 제 1 단부 및 제 1 가스 플로우 통로를 제 1 가스 분배 플레넘 볼륨과 유체적으로 연결시키는 제 2 단부를 가질 수도 있다. 제 1 가스 플로우 통로 각각은 전체 길이가 실질적으로 동일할 수도 있고, 제 1 단부에서의 제 1 유입부 플레넘 볼륨으로부터 멀어지게 연장될 수도 있고, 그리고 제 1 가스 플로우 통로의 제 2 단부가 제 1 유입부 플레넘 볼륨을 향해 배향되도록 제 1 단부와 제 2 단부 사이에 140° 내지 200°의 벤딩부들 (bends) 을 포함할 수도 있다.
일부 실시예들에서, 제 1 가스 플로우 통로 각각의 제 2 단부는, 제 4 표면을 통과하는 대응하는 제 1 홀에 의해 제 1 가스 분배 플레넘 볼륨과 유체적으로 연결될 수도 있고; 제 1 홀 각각은 공칭 홀 직경을 가질 수도 있다. 일부 실시예들에서, 복수의 제 1 상승된 보스들 (boss) 은 제 2 표면으로부터 제 4 표면을 향해 상측으로 연장할 수도 있고, 제 1 상승된 보스 각각은 제 1 홀들 중 하나의 제 1 홀 상에 중심이 위치되고 공칭 보스 직경을 갖는다. 일부 이러한 실시예들에서, 제 1 상승된 보스 각각은 0.025 ㎜ 내지 1.2 ㎜의 거리만큼 제 4 표면으로부터 오프셋될 수도 있다. 다른 또는 부가적인 이러한 실시예들에서, 제 1 상승된 보스 각각은 1/11의 공칭 직경 내지 1/13의 공칭 직경의 거리만큼 제 4 표면으로부터 오프셋될 수도 있다. 또 다른 부가적인 또는 대안적인 이러한 실시예들에서, 제 1 상승된 보스 각각은, 공칭 보스 직경과 공칭 홀 직경 사이의 차의 2 배 미만이고 공칭 보스 직경과 공칭 홀 직경 사이의 차의 0.2 배 초과인 거리만큼 제 4 표면으로부터 오프셋될 수도 있다.
일부 실시예들에서, 상이한 서브세트의 제 1 가스 분배 포트들은 제 1 상승된 보스들 각각에 인접할 수도 있고 그리고 제 1 상승된 보스 각각은 제 1 상승된 보스에 인접한 복수의 제 1 가스 분배 포트들 내의 제 1 가스 분배 포트들 사이에 중심이 위치될 수도 있다.
일부 실시예들에서, 복수의 제 1 지지 칼럼들 (support column) 은 제 2 표면과 제 4 표면 사이에 걸칠 (span) 수도 있다.
특정한 실시예들에서, 제 1 홀들은 1.5 ㎜ 내지 3 ㎜의 직경들을 가질 수도 있고, 특정한 대안적인 또는 부가적인 실시예들에서, 제 1 보스들은 5 ㎜ 내지 8 ㎜인 직경들을 가질 수도 있다.
일부 실시예들에서, 장치는 또한 복수의 제 1 반도 모양의 돌기들 (peninsulas) 을 포함할 수도 있다. 제 1 반도 모양의 돌기 각각은 제 1 유입부 플레넘 볼륨 내로 돌출할 수도 있고, 그리고 하나 이상의 제 1 가스 플로우 통로들의 제 2 단부는 제 1 반도 모양의 돌기들 각각 내로 연장할 수도 있다. 이러한 실시예에서, 제 1 반도 모양의 돌기들 내의 제 1 가스 플로우 통로들의 제 2 단부는 이러한 제 1 가스 플로우 통로들의 제 1 단부들보다 제 1 유입부 플레넘 볼륨의 제 1 중심 지점에 더 가까울 수도 있다.
일부 실시예들에서, 제 1 가스 플로우 통로들은 제 1 단부와 제 2 단부 사이에 150° 내지 190°의 벤딩부들을 포함할 수도 있다. 일부 실시예들에서, 제 1 가스 플로우 통로들 각각은 다른 제 1 가스 플로우 통로들의 ± 30 %, ± 20 %, ± 10 %, 또는 ± 5 % 내의 길이를 가질 수도 있다.
일부 실시예들에서, 제 1 가스 플로우 통로들 각각은 통로의 길이를 따라 일정한 단면적을 가질 수도 있다. 일부 실시예들에서, 제 1 가스 플로우 통로들 각각의 제 1 단부는 장치의 제 1 축으로부터 등거리에 있을 수도 있다. 일부 실시예들에서, 장치는 20 내지 100개의 제 1 가스 플로우 통로들을 포함할 수도 있다.
일부 실시예들에서, 장치는 또한 제 2 가스 유입부, 제 5 표면, 복수의 제 2 가스 분배 포트들, 제 6 표면, 제 5 표면과 제 6 표면 사이에 개재된 제 7 표면, 제 6 표면과 제 7 표면 사이에 개재된 제 8 표면, 및 제 5 표면과 제 7 표면 사이에 개재된 복수의 제 2 가스 플로우 통로들을 포함할 수도 있다. 이러한 실시예들에서, 제 2 가스 유입부는 제 5 표면을 통해 제 2 프로세스 가스를 전달하도록 구성될 수도 있고 그리고 제 2 가스 분배 포트들은 제 6 표면을 통해 제 2 프로세스 가스를 전달하도록 구성될 수도 있다.
일부 실시예들에서, 장치는 제 2 가스 유입부와 유체적으로 연결되는 제 2 유입부 플레넘 볼륨을 가질 수도 있다. 제 2 유입부 플레넘 볼륨은 제 5 표면과 제 7 표면에 의해 적어도 부분적으로 규정될 수도 있다. 장치는 제 2 가스 분배 포트들과 유체적으로 연결되는 제 2 가스 분배 플레넘 볼륨을 더 가질 수도 있고 그리고 제 2 가스 분배 플레넘 볼륨은 제 6 표면과 제 8 표면에 의해 적어도 부분적으로 규정될 수도 있다.
일부 실시예들에서, 제 2 가스 플로우 통로들 각각은 제 2 가스 플로우 통로를 제 2 유입부 플레넘 볼륨과 유체적으로 연결시키는 제 1 단부 및 제 2 가스 플로우 통로를 제 2 가스 분배 플레넘 볼륨과 유체적으로 연결시키는 제 2 단부를 가질 수도 있다. 제 2 가스 플로우 통로 각각은 전체 길이가 실질적으로 동일할 수도 있고, 제 1 단부에서의 제 2 유입부 플레넘 볼륨으로부터 멀어지게 연장될 수도 있고, 그리고 제 2 가스 플로우 통로의 제 2 단부가 제 1 유입부 플레넘 볼륨을 향해 배향되도록 제 1 단부와 제 2 단부 사이에 140° 내지 200°의 벤딩부들을 포함할 수도 있다.
일부 실시예들에서, 장치의 제 1 가스 플로우 통로 각각의 제 2 단부는, 제 4 표면을 통과하는 대응하는 제 1 홀에 의해 제 1 가스 분배 플레넘 볼륨과 유체적으로 연결될 수도 있고; 제 1 홀 각각은 공칭 홀 직경을 가질 수도 있다. 일부 경우들에서, 복수의 제 1 상승된 보스들은 제 2 표면으로부터 제 4 표면을 향해 상측으로 연장할 수도 있고, 그리고 제 1 상승된 보스 각각은 제 1 홀들 중 하나의 제 1 홀 상에 중심이 위치될 수도 있고 공칭 보스 직경을 가질 수도 있다. 이러한 실시예에서, 제 2 가스 플로우 통로 각각의 제 2 단부는, 또한 제 8 표면을 통과하는 대응하는 제 2 홀에 의해 제 2 가스 분배 플레넘 볼륨과 유체적으로 연결될 수도 있고; 제 2 홀 각각은 공칭 홀 직경을 가질 수도 있다. 일부 경우들에서, 복수의 제 2 상승된 보스들은 제 6 표면으로부터 제 8 표면을 향해 상측으로 연장하고, 제 2 상승된 보스 각각은 제 2 홀들 중 하나의 제 2 홀 상에 중심이 위치되고 공칭 보스 직경을 가질 수도 있다.
일부 경우들에서, 제 1 상승된 보스 각각은 제 4 표면으로부터 오프셋될 수도 있고 그리고/또는 제 2 상승된 보스 각각은 0.025 ㎜ 내지 1.2 ㎜의 거리만큼 제 8 표면으로부터 오프셋될 수도 있다. 다른 또는 부가적인 경우들에서, 제 1 상승된 보스 각각은 제 4 표면으로부터 오프셋될 수도 있고 그리고/또는 제 2 상승된 보스 각각은 상승된 보스 각각의 1/11의 공칭 직경 내지 1/13의 각각의 공칭 직경의 거리만큼 제 8 표면으로부터 오프셋될 수도 있다. 또 다른 경우들에서, 제 1 상승된 보스 각각은 제 4 표면으로부터 오프셋될 수도 있고 그리고/또는 제 2 상승된 보스 각각은 공칭 보스 직경과 각각의 공칭 홀 직경 사이의 차의 2 배 미만이고 공칭 보스 직경과 각각의 공칭 홀 직경 사이의 차의 0.2 배 초과인 거리만큼 제 8 표면으로부터 오프셋될 수도 있다.
특정한 실시예들에서, 장치는 하나 이상의 부가적인 제 1 가스 유입부들을 가질 수도 있고, 제 1 유입부 플레넘 볼륨은 제 1 가스 유입부들 중 상이한 하나의 제 1 가스 유입부에 의해 각각 피딩되는 (fed) 복수의 제 1 유입부 플레넘 서브-볼륨들로 파티셔닝될 (partitioned) 수도 있다.
특정한 실시예들에서, 제 1 유입부 플레넘 볼륨 및 제 1 가스 분배 플레넘 볼륨은 제 2 유입부 플레넘 볼륨 및 제 2 가스 분배 플레넘 볼륨 사이에 개재될 수도 있다. 다른 실시예들에서, 제 1 유입부 플레넘 볼륨 및 제 2 가스 분배 플레넘 볼륨은 제 2 유입부 플레넘 볼륨 및 제 1 가스 분배 플레넘 볼륨 사이에 개재될 수도 있다.
일부 실시예들에서, 장치 내의 상이한 서브세트의 제 1 가스 분배 포트들은 제 1 상승된 보스들 각각과 인접하고 그리고 제 1 상승된 보스 각각은 제 1 상승된 보스와 인접한 제 1 가스 분배 포트들 사이에 중심이 위치된다.
일부 실시예들에서, 수정된 장치 내의 상이한 서브세트의 제 2 가스 분배 포트들은 제 2 상승된 보스들 각각과 인접하고 그리고 제 2 상승된 보스 각각은 제 2 상승된 보스와 인접한 제 2 가스 분배 포트들 사이에 중심이 위치된다.
특정한 실시예들에서, 장치는 또한 제 2 표면과 제 4 표면 사이를 걸치는 복수의 제 1 지지 칼럼들 및 제 6 표면과 제 8 표면 사이를 걸치는 복수의 제 2 지지 칼럼들을 포함할 수도 있다.
일부 실시예들에서, 장치는 또한 복수의 제 1 반도 모양의 돌기들을 포함할 수도 있고, 제 1 반도 모양의 돌기 각각은 제 1 유입부 플레넘 볼륨 내로 돌출하고 그리고 하나 이상의 제 1 가스 플로우 통로들의 제 2 단부는 제 1 반도 모양의 돌기들 각각 내로 연장한다. 이러한 실시예에서, 제 1 반도 모양의 돌기들 내의 제 1 가스 플로우 통로들의 제 2 단부는 제 1 가스 플로우 통로들의 제 1 단부들보다 제 1 유입부 플레넘 볼륨의 제 1 중심 지점에 더 가까울 수도 있다.
일부 실시예들에서, 장치는 또한 복수의 제 2 반도 모양의 돌기들을 포함할 수도 있고, 제 2 반도 모양의 돌기 각각은 제 2 유입부 플레넘 볼륨 내로 돌출하고 그리고 하나 이상의 제 2 가스 플로우 통로들의 제 2 단부는 제 2 반도 모양의 돌기들 각각 내로 연장한다. 이러한 실시예에서, 제 2 반도 모양의 돌기들 내의 제 2 가스 플로우 통로들의 제 2 단부는 제 2 가스 플로우 통로들의 제 1 단부들보다 제 2 유입부 플레넘 볼륨의 제 2 중심 지점에 더 가까울 수도 있다.
도 1은 예시적인 과도현상 방지 샤워헤드의 분해된 등축도를 도시한다.
도 2는 도 1의 예시적인 과도현상 방지 샤워헤드의 제 1 파티션 플레이트의 평면도를 도시한다.
도 3은 도 1의 예시적인 과도현상 방지 샤워헤드의 대면 플레이트의 평면도를 도시한다.
도 4는 도 1의 예시적인 과도현상 방지 샤워헤드의 등축 단면도를 도시한다.
도 5는 도 1의 예시적인 과도현상 방지 샤워헤드의 단면도를 도시한다.
도 6은 도 5의 일부분의 상세도를 도시한다.
도 7은 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 분해된 등축도를 도시한다.
도 8은 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 제 1 파티션 플레이트의 평면도를 도시한다.
도 9는 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 배플 플레이트의 평면도를 도시한다.
도 10은 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 제 2 파티션 플레이트의 평면도를 도시한다.
도 11은 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 대면 플레이트의 평면도를 도시한다.
도 12는 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 등축 단면도를 도시한다.
도 1 내지 도 12는 도면 각각에서 스케일대로 도시되지만, 스케일은 도면 별로 가변될 수도 있다.
다음의 기술에서, 수많은 구체적인 상세들이 제공된 개념들의 철저한 이해를 제공하도록 제시된다. 제공된 개념들은 이들 구체적인 상세들의 일부 또는 전부 없이 실행될 수도 있다. 다른 예들에서, 잘 알려진 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 일부 개념들이 구체적인 실시예들과 함께 기술되지만, 이러한 실시예들을 제한하도록 의도되지 않음이 이해될 것이다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 등은 상호교환 가능하게 사용된다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖지만, 또한 원형이 아닐 수도 있고 다른 치수들일 수도 있다. 반도체 웨이퍼들에 부가하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들 (elements), 마이크로-기계 디바이스들 등과 같은 다양한 물품들을 포함한다.
몇몇 관례들이 본 개시에서의 논의들 및 도면들의 일부에서 채택될 수도 있다. 예를 들어, "플레넘 볼륨들"과 같은 "볼륨들"이 다양한 곳들에서 참조된다. 이러한 볼륨들은 다양한 도면들에서 대체로 나타날 수도 있지만, 도면들 및 이에 수반되는 수치적 식별자들은 이러한 볼륨들의 근사치를 나타내며, 실제 볼륨들은 예를 들어, 이 볼륨들을 경계짓는 다양한 견고한 (solid) 표면들까지 연장될 수도 있다는 것이 이해되어야 한다. 다양한 보다 작은 볼륨들, 예를 들어, 플레넘 볼륨의 경계 표면에 이어지는 가스 유입부들 또는 다른 홀들은 플레넘 볼륨들에 유체적으로 연결될 수도 있다.
상대적 용어들, 예를 들어, "의 위", "의 상단 상" " 의 아래", "의 바로 아래" 등의 사용은 샤워헤드의 정상적인 사용 동안 이러한 컴포넌트들의 배향들에 대한 또는 페이지의 도면들의 배향에 대한 컴포넌트들의 공간적 관계들을 지칭한다고 이해되어야 한다. 정상적인 사용에서, 샤워헤드들은 통상적으로 기판 프로세싱 동작들 동안 기판을 향해서 가스들을 하측으로 분배하도록 배향된다.
반도체 제조는 종종 프로세스 가스들, 예를 들어, 증착 가스 및 에칭 가스가 프로세싱을 겪는 반도체 웨이퍼 또는 기판을 가로질러 균일하거나 제어된 방식으로 흐를 것을 요구한다. 이를 위해, 본 명세서에 가스 분배 매니폴드로서 또한 지칭되고 또한 가스 분배기로서 때때로 지칭되는, "샤워헤드"는 웨이퍼의 표면을 가로질러 가스들을 분배하도록 사용될 수도 있다. 가스가 처음에 샤워헤드 내로 흐를 때, 초기 가스가 샤워헤드의 대면 플레이트에 걸쳐 배치된 가스 분배 포트들 각각에 도달하는 것은 일정 시간이 걸릴 수도 있고, 이는 샤워헤드의 정면에 걸친 불균일한 가스 분배를 발생시킬 수도 있다. 샤워헤드를 통한 가스 플로우가 안정화된 후, 예를 들어, 샤워헤드의 플레넘 볼륨(들) 내의 압력 환경이 안정화된 후, 가스 플로우는 훨씬 더 균일해질 수도 있다. 그러나, 초기 과도현상 기간 동안, 플레넘 볼륨들 내의 압력은 변동할 수도 있고, 그리고 이것은 대면 플레이트에 걸친 불균형한 플로우 특성들을 발생시킬 수도 있다. 이러한 과도현상 플로우의 예측 불가능성 때문에, 과도현상 플로우 기간은 통상적으로 반도체 프로세스 동안 "손실" 시간이다.
긴 지속기간의 반도체 프로세스들, 예를 들어, 수백 초 또는 그 이상의 사이클 시간들을 가진 프로세스들 동안, 수초일 수도 있는 과도현상 기간은 전체 사이클 지속기간의 상대적으로 작은 부분을 구성할 수도 있고, 따라서 "손실" 시간은 전체 사이클 시간의 상대적으로 작은 부분을 구성할 수도 있다. 그러나, ALD (atomic layer depostion) 와 같은 짧은 지속기간의 반도체 프로세스들에서, 과도현상 기간은 훨씬 더 두드러진 효과를 가질 수도 있다. 예를 들어, ALD에서, 약 수 초 또는 수십 초의 가스 전달 시간들은 일반적이다 - 사이클 각각이 또한 과도현상들에 기인한 손실된 시간을 수용해야 한다면, 그러면 과도현상 손실이 전체 프로세스 시간을 얼마나 급격히 늘릴 수도 있는지를 쉽게 알 수 있다.
본 명세서에 논의된 과도현상 방지 샤워헤드들은, 과도현상 가스 플로우 반응 (flow response) 을 최소화하거나 감소시키거나, 심지어 반도체 프로세싱 시스템들로부터, 관련된 사이클 시간 동안 전적으로 과도현상 가스 플로우 반응을 제거하기 위한 새로운 메커니즘을 제공한다.
일반적으로 말하면, 과도현상 방지 샤워헤드들은 적어도 2개의 플레넘들 - 가스 유입부 플레넘 및 가스 분배 플레넘으로 구성될 수도 있다. 이들 플레넘들 각각은 분리된 플레넘 볼륨을 규정할 수도 있다. 이러한 샤워헤드들은 또한 제 1 단부에서 가스 유입부 플레넘 볼륨 그리고 제 2 단부에서 가스 분배 플레넘 볼륨과 유체적으로 연결되는 복수의 가스 플로우 통로들을 포함할 수도 있다. 많은 경우들에서, 파티션 플레이트는 가스 유입부 플레넘을 가스 분배 플레넘으로부터 분리시킬 수도 있고, 그리고 가스 플로우 통로들은 파티션 플레이트의 일 정면 내로 머시닝될 (machined) 수도 있고; 가스 플로우 통로들의 제 2 단부에 위치된 홀들은, 유입부 플레넘 볼륨으로부터 그리고 가스 플로우 통로들 내로 흐르는 가스로 하여금 파티션 플레이트를 통해 그리고 가스 분배 플레넘 볼륨 내로 지나가게 할 수도 있다. 가스 플로우 통로들의 목적은, 유입부 플레넘 볼륨으로부터 가스 분배 플레넘 볼륨을 가진 분배된 위치들로 실질적으로 동일한 비율들의 가스를 전달하는 것이다. 예를 들어, 가스 플로우 통로들의 제 2 단부들은, 다양한 분배된 위치들에서 가스 분배 플레넘 볼륨 내로 가스를 전달하도록 예를 들어, 서로 수 밀리미터 내에 중심 지점들을 가진 복수의 동심원 패턴 또는 동심원과 근사한 패턴, 원형 패턴으로 배치될 수도 있다. 따라서, 일부 제 2 단부들은 가스 분배 플레넘 볼륨의 주변 근방에 위치될 수도 있고, 일부 제 2 단부들은 가스 분배 플레넘 볼륨의 중심을 향해 위치될 수도 있고, 일부 제 2 단부들은 2개의 위치들 사이에 위치될 수도 있다.
가스 플로우 통로 각각은 예를 들어, 길이의 ± 5 % 변동을 가진, 실질적으로 동일한 길이를 가질 수도 있고, 그리고 통로의 길이를 따라 유사한 단면 프로파일 또는 영역을 유지할 수도 있고, 예를 들어, 가스 플로우 통로 각각은 통로의 길이를 따라 일정한 단면적을 가질 수도 있다. 가스 플로우 통로 각각은 또한 결국 가스 플로우 통로로 하여금 일부 공통 각, 예를 들어, 제 1 단부와 제 2 단부 사이에 170° ± 15° 또는 ± 20°으로부터 ± X만큼 방향을 변화시키게 하는 하나 이상의 벤딩부들을 포함할 수도 있다. 이들 벤딩부들은 예로서, 170°의 단일의 벤딩부, 100° 및 70°의 2개의 벤딩부들, 50°, 40°, 및 80°의 3개의 벤딩부들, 등을 포함할 수도 있다. 가스 플로우 통로 각각 내의 벤딩부들의 수는 동일할 수도 있거나, 통로별로 가변할 수도 있고 - 그러나, 통로 각각 내에 얼마나 많은 벤딩부들이 있는지에 상관 없이, 통로 각각에 대한 전체 벤딩 각은 상술된 제한값들 내에 있을 수도 있다. "전체 벤딩 각"은 주어진 가스 플로우 통로에 대한 벤딩 각들의 절대 값들의 합계임이 이해되어야 한다. 따라서, 가스 플로우 통로가 좌측에 대해 90°의 벤딩부 그리고 우측에 대해 90°의 벤딩부를 겪는다면, 전체 벤딩 각은 0°이 아닌 180°일 것이다. 동일한 공칭 전체 벤딩 각, 단면적 프로파일, 및 가스 플로우 통로 각각의 통로 길이를 포함함으로써, 가스 플로우 통로들은, 가스 플로우 통로들을 통해 흐르는 가스로 하여금 과도현상 플로우 동안에도, 모든 통로들을 통해 동일한 레이트들로 흐르게 할 수도 있는, 실질적으로 유사한 플로우 저항을 나타내게 할 수도 있다. 일부 구현예들에서, 전체 벤딩 각은 이로 제한되지 않지만, 140° 내지 200°일 수도 있고, 즉, 상기에 논의된 170° ± 15°보다 더 완화되거나 보다 더 벤딩될 수도 있다.
추가의 퍼포먼스 증가들이 상측으로 대면 플레이트로부터, 가스를 가스 플로우 통로들로부터 가스 분배 플레넘 볼륨으로 전달하는 홀들을 향해 돌출하는 복수의 상승된 보스들을 포함함으로써 획득될 수도 있다. 이들 상승된 보스들 각각은, 홀을 나가는 가스가 상승된 보스의 중심과 충돌하도록 홀들 중 대응하는 홀 바로 아래에 중심이 위치될 수도 있고, 가스로 하여금 대략 90°의 플로우 방향의 변화를 겪게 하고, 예를 들어, 가스 플로우는 홀 축을 따라 흐르는 것으로부터 대면 플레이트에 대체로 평행한 방향으로 흐르는 것으로 변화한다. 따라서 상승된 보스는 가스 분배 플레넘 볼륨 전반에 걸쳐 보다 고른 방식으로 가스를 더 분배하는 역할을 하는 "미니 배플"로서 작용한다.
도 1은 예시적인 과도현상 방지 샤워헤드의 분해된 등축도를 도시한다. 보이는 바와 같이, 과도현상 방지 샤워헤드 (100) 가 도시된다. 샤워헤드 (100) 는 제 1 프로세스 가스를 샤워헤드 (100) 로 전달하도록 사용될 수도 있는 스템 (180) 을 포함하고; 스템은 가스를 제 1 가스 유입부 (112) 에 제공할 수도 있다 (그렇지 않으면, 스템 (180) 은 또한 제 1 가스 유입부 (112) 로서 고려될 수도 있다). 스템 (180) 은 예를 들어, 납땜된, 확산 본딩된, 용접된, 또는 볼트 결합된 연결을 통해 배면 플레이트 (102) 와 연결될 수도 있다. 배면 플레이트 (102) 는 결국 제 1 파티션 플레이트 (108) 와 메이팅될 (mated) 수도 있다. 제 1 파티션 플레이트 (108) 는 제 1 유입부 플레넘 볼륨 (142) 과 복수의 제 1 가스 플로우 통로들 (138) 을 규정하는 제 1 파티션 플레이트 (108) 내에 머시닝되거나 그렇지 않으면 형성되는 다양한 피처들을 포함할 수도 있다. 제 1 가스 플로우 통로들 (138) 은 제 1 유입부 플레넘 볼륨 (142) 과 유체적으로 연결되고 그리고 제 1 유입부 플레넘 볼륨 (142) 의 외주를 따라 배치되는 제 1 단부들을 가질 수도 있고; 제 1 가스 플로우 통로들 (138) 은 대체로 제 1 가스 플로우 통로 (138) 각각 내의 상기에 언급된 벤딩부들에 의해 제 1 가스 플로우 통로들의 방향을 실질적으로 반전시키기 전에 제 1 유입부 플레넘 볼륨 (142) 의 이 외주로부터 외측으로 퍼질 수도 있다.
샤워헤드 (100) 는 또한 대면 플레이트 (104) 에 걸쳐 패턴으로 배치된 복수의 제 1 가스 분배 포트들 (134) 을 포함하는 대면 플레이트 (104) 를 포함할 수도 있다. 대면 플레이트 (104) 는, 제 1 가스 분배 플레넘 볼륨 (146) 이 형성되도록 제 1 파티션 플레이트 (108) 에 메이팅될 수도 있다. 제 1 가스 분배 플레넘 볼륨 (146) 은 복수의 제 1 가스 플로우 통로들 (138) 에 의해 제 1 유입부 플레넘 볼륨 (142) 과 유체적으로 연결될 수도 있다.
일반적으로 말하면, 제 1 유입부 플레넘 볼륨 (142) 및 제 1 가스 분배 플레넘 볼륨 (146) 은 적어도 부분적으로, 다양한 주요 표면들에 의해 경계를 이룰 수도 있다. 예를 들어, 배면 플레이트 (102) 는 프로세스 가스가 제 1 가스 유입부 (112) 로부터 그리고 제 1 유입부 플레넘 볼륨 (142) 내로 도입될 수도 있는 제 1 표면 (116) 을 제공할 수도 있고; 따라서 제 1 표면 (116) 은 제 1 유입부 플레넘 볼륨 (142) 에 대한 일 경계로서 역할을 할 수도 있다. 마찬가지로, 대면 플레이트 (104) 는 프로세스 가스가 제 1 가스 분배 플레넘 볼륨 (146) 으로부터 제 1 가스 분배 포트들 (134) 에 의해 흐를 수도 있는 제 2 표면 (118) 을 제공할 수도 있고; 따라서 제 2 표면 (118) 은 제 1 가스 분배 플레넘 볼륨 (146) 에 대한 일 경계로서 역할을 할 수도 있다. 마찬가지로, 제 1 파티션 플레이트 (108) 는 제 1 유입부 플레넘 볼륨 (142) 및 제 1 가스 분배 플레넘 볼륨 (146) 각각에 대한 추가의 경계들로서 역할을 할 수도 있는, 제 3 표면 (120) 및 제 4 표면 (122) 을 가질 수도 있다.
이들 표면들에 도시된 바로 그 컴포넌트들이 반드시 제공될 필요는 없다는 것이 이해되어야 한다. 실제로, 일부 구현예들에서, 심지어 별개의 대면 플레이트들, 배면 플레이트들, 또는 파티션 플레이트들이 없을 수도 있다. 예를 들어, 샤워헤드 (100) 는 예를 들어, 다이렉트 레이저 금속 소결과 같은 부가적인 제작 기법들을 사용함으로써 모놀리식 구조로서 제작될 수도 있는데, 세라믹 샤워헤드가 목표된다면, 세라믹 소결 프로세스가 사용된다. 도시된 예와 같은, 복수의 플레이트 구조들이 사용되는 구현예들에서, 다양한 플레이트들이 바르게 정렬되는 것을 보장하도록 인덱싱 핀 (106) 또는 다른 유사한 피처를 포함하는 것이 바람직할 수도 있다. 복수의 플레이트 구조가 사용된다면, 전체 샤워헤드 구조를 형성하는 다양한 플레이트들이, 플레이트들의 콘택트하는 표면들 사이의 가스 플로우를 방지하도록 플레이트들의 표면들을 따라 함께 납땜되거나 확산 본딩될 수도 있다는 것이 이해된다.
복수의 제 1 상승된 보스들 (160) 이 도 1에서 보이고, 복수의 제 1 상승된 보스들 (160) 각각은 제 1 가스 플로우 통로들 (138) 중 하나의 제 1 가스 플로우 통로의 제 2 단부 아래에 위치된다. 제 1 상승된 보스들에 더하여, 복수의 제 1 지지 칼럼들 (164) 이 선택 가능하게 포함될 수도 있다. 제 4 표면 (122) 과 콘택트하지 않는 제 1 상승된 보스들 (160) 과 달리, 제 1 지지 칼럼들 (164) 은 대면 플레이트 (104) 에 구조적 지지 및 열 전도성 경로를 제공할 수도 있고, 따라서 제 4 표면 (122) 까지 연장하고 제 4 표면 (122) 과 콘택트할 수도 있다 (그리고 구조적 지지를 위해 제 4 표면 (122) 에 납땜되거나 확산 본딩될 수도 있음).
실질적인 관점으로부터, 과도현상 방지 샤워헤드 내에 많은 수의 가스 플로우 통로들을 포함하는 것이 바람직할 수도 있다. 그러나, 과도현상 방지 샤워헤드 내에 포함된 가스 플로우 통로들의 수가 증가함에 따라, 대응하는 유입부 플레넘 볼륨의 사이즈도 또한 각각의 가스 플로우 통로와 유입부 플레넘 볼륨의 둘레를 따른 유입부 플레넘 볼륨 사이의 증가된 수의 접합부들을 수용하도록 증가해야 한다. 일부 시점에서, 가스 플로우 통로들의 수가 증가됨에 따라, 유입부 플레넘 볼륨의 사이즈는, 가스를 가스 플로우 통로들로부터 가스 유입부 플레넘 볼륨의 둘레 내의 가스 분배 플레넘 볼륨으로 피딩하는 (feed) 홀들 중 일부를 배치하는 것이 바람직할 수도 있는 정도로 충분히 크게 팽창할 수도 있다. 그렇게 하기 위해서, 가스 플로우 통로 각각 사이의 유체적 격리를 유지하는 동안 복수의 반도 모양의 돌기들이 포함될 수도 있다. 반도 모양의 돌기 각각은 유입부 플레넘 볼륨 내로 유입부 플레넘 볼륨의 공칭 최외측 둘레로부터 돌출할 수도 있다. 반도 모양의 돌기 각각은 가스를 이러한 위치들로 전달하도록 사용될 수도 있는 하나 이상의 가스 플로우 통로들을 포함할 수도 있다.
도 2는 도 1의 예시적인 과도현상 방지 샤워헤드의 제 1 파티션 플레이트 (108) 의 평면도를 도시한다. 이 도면에서 더 상세히 보이는 바와 같이, 제 1 가스 플로우 통로 (138) 각각은 통로의 길이를 따라 일부 지점에서 발생하는 벤딩부 (154) 를 갖는다. 게다가, 제 1 가스 플로우 통로 (138) 각각은 다른 제 1 가스 플로우 통로들 (138) 과 실질적으로 동일한 길이를 갖고, 이 경우에, ~ 170 ㎜ ± 5 %이다. 예시의 목적들을 위해, 이 예에서 제 1 가스 플로우 통로들 (138) 은 대략 2 ㎜ 너비이다. 제 1 가스 플로우 통로 (138) 각각은 제 1 단부 (150) 에서 제 1 유입부 플레넘 볼륨 (142) 과 유체적으로 연결되고 그리고 제 1 홀 (156) 에 의해 제 2 단부 (152) 에서 제 1 가스 분배 플레넘 볼륨 (146) 과 유체적으로 연결된다. 보이는 바와 같이, 6개의 제 1 홀들 (156) 이 제 1 유입부 플레넘 볼륨 (142) 의 최외측 원주 내에 위치되고; 가스를 이들 제 1 홀들 (156) 에 제공하는 제 1 가스 플로우 통로들 (138) 은, 제 1 유입부 플레넘 볼륨 (142) 내로 연장하는 반도 모양의 돌기들 (168) 에 의해 제 1 유입부 플레넘 볼륨 (142) 으로부터 파티셔닝된다. 샤워헤드 (100) 에서 보이는 바와 같이, 제 1 유입부 플레넘 볼륨 (142) 으로부터 퍼지는 60개의 제 1 가스 플로우 통로들 (138) 이 있다. 이러한 많은 수의 제 1 가스 플로우 통로들 (138) 은, 제 1 홀들 (156) (반도 모양의 돌기들 (168) 내에 위치되는 제 1 홀들 (156)) 의 최내측 패턴 내에 있도록 제 1 유입부 플레넘 볼륨이 사이징된다면 제 1 유입부 플레넘 볼륨 (142) 의 외주를 따라 (나타낸 통로 폭에) 피팅될 수 없을 것이다.
도 3은 도 1의 예시적인 과도현상 방지 샤워헤드의 대면 플레이트 (104) 의 평면도를 도시한다. 보이는 바와 같이, 제 1 상승된 보스들 (160) 은 제 1 가스 분배 플레넘 볼륨 (146) 전반에 걸쳐 상대적으로 분포된 방식으로 배치된다.
도 4는 도 1의 예시적인 과도현상 방지 샤워헤드의 등축 단면도를 도시한다. 보이는 바와 같이, 제 1 홀 (156) 각각은 대응하는 제 1 상승된 보스 (160) 바로 위에 위치된다. 제 1 유입부 플레넘 볼륨 (142) 내로 제 1 가스 유입부 (112) 를 통해 흐르는 가스는, 대체로 동시에 모든 제 1 가스 플로우 통로들 (138) 의 제 1 단부들 (150) 에 도달할 수도 있고 그리고 명목상 동일한 길이이고 명목상 동일한 전체 벤딩 각 및 통로들의 길이에 따른 단면들을 갖는 제 1 가스 플로우 통로들 (138) 에 의해, 대체로 동시에 제 1 가스 플로우 통로들 (138) 의 제 2 단부들 (152) 에 도달할 수도 있다. 이것은 거의 동시에 가스를 복수의 지점들, 예를 들어, 제 1 홀 (156) 각각에서 제 1 가스 분배 플레넘 볼륨 내로 도입하는 결과를 갖는다. 제 1 상승된 보스들 (160) 은, 샤워헤드 (100) 내의 가스 플로우가 정상 상태에 아직 도달하지 않았을 때에도, 가스가 대체로 균일한 방식으로 제 1 가스 분배 포트들 (134) 을 통해 흐르도록 제 1 가스 분배 플레넘 볼륨 (146) 전반에 걸쳐 가스를 더 분배시키도록 작용할 수도 있다.
도 5는 도 1의 예시적인 과도현상 방지 샤워헤드의 단면도를 도시한다. 다양한 플레이트들, 예를 들어, 대면 플레이트 (104), 배면 플레이트 (102), 및 제 1 파티션 플레이트 (108), 뿐만 아니라 제 1 표면 (116), 제 2 표면 (118), 제 3 표면 (120), 및 제 4 표면 (122) 이 도시된다. 도 5는 또한 도 6에 더 상세히 도시된 원형 영역을 나타낸다.
도 6은 도 5의 일부분의 상세도를 도시한다. 이 상세도는 제 1 가스 플로우 통로들 (138) 중 일 통로의 제 2 단부 (152), 뿐만 아니라 제 1 가스 분배 플레넘 볼륨 (146) 에 제 1 가스 플로우 통로 (138) 를 유체적으로 연결시키는 제 1 홀 (156) 을 도시한다. 제 2 표면 (118) 과 제 4 표면 (122) 사이를 걸치는 제 1 지지 칼럼 (164) 이 또한 도 6에 도시된다. 제 1 홀 (156) 바로 아래에 있는 제 1 상승된 보스를 포함한 2개의 제 1 상승된 보스들 (160) 이 또한 보인다. 분명한 바와 같이, 제 1 홀 (156) 아래의 제 1 상승된 보스 (160) 는 제 1 홀 (156) 바로 아래에 중심이 위치된다. 게다가, 제 1 갭 (176) 은 제 1 상승된 보스 (160) 와 제 4 표면 (122) 사이에 존재한다. 도시된 예에서, 제 1 홀 (156) 은 2 ㎜의 직경을 갖고, 제 1 상승된 보스 (160) 는 6.5 ㎜의 직경을 갖고, 그리고 제 1 갭 (176) 은 0.5 ㎜이다. 몇몇의 구현예들에서, 제 1 갭 (176) 은 제 1 상승된 보스 (160) 의 공칭 직경의 함수일 수도 있고, 그리고 일부 이러한 구현예들에서, 1/11의 상승된 보스 공칭 직경 내지 1/13의 상승된 보스 공칭 직경의 범위 내에 있을 수도 있고, 예를 들어, 대략 1/12의 상승된 보스 직경일 수도 있다. 다른 구현예들에서, 제 1 갭 (176) 은 제 1 상승된 보스 (160) 의 공칭 직경 및 제 1 홀 (156) 의 직경의 함수일 수도 있고, 예를 들어, 제 1 갭 (176) 은, 제 1 상승된 보스 (160) 직경과 제 1 홀 (156) 직경 사이의 차의 2배로 나눠진 제 1 갭 (176) 이 0.1 내지 1이도록 선택될 수도 있다.
이전의 예는 단일의 프로세스 가스의 플로우만을 지원하는 샤워헤드 (100) 에 관한 것이다. 논의된 바와 같이, 본 명세서에 논의된 개념들은 또한 멀티-플로우 또는 멀티-플레넘 샤워헤드들에 적용될 수도 있다. 이 2개의 프로세스 가스들을 동시에 흘리도록 구성된 샤워헤드에 대한 개념은 아래에서 더 상세히 논의된다. 이 듀얼-플로우 예의 많은 구조들은 단일-플로우 샤워헤드 (100) 에 대해 이전에 논의된 구조들에 대응한다. 장황함을 회피하기 위해서, 이들 컴포넌트들은 이하에 다시 기술되지 않을 수도 있고; 이러한 경우들에서, 샤워헤드 (100) 의 유사한 구조들의 이전의 논의는 기술을 위해 언급될 수도 있다. 샤워헤드 (100) 와 이하에 논의될 듀얼-플로우 샤워헤드 사이의 유사한 컴포넌트들은, 공동으로 도면 참조 번호들의 마지막 2개의 숫자들을 공유할 수도 있다.
도 7은 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 분해된 등축도를 도시한다. 보이는 바와 같이, 샤워헤드 (700) 로 공급될 2개의 분리된 가스들을 허용하는 스템 (780) 이 제공되고; 스템은 배면 플레이트 (702) 에 연결될 수도 있다. 스템 (780) 은 2개의 세트들의 통로들을 포함할 수도 있고, 하나는 스템 (780) 의 중심을 따라 이어지는 통로를 포함하고, 다른 하나는 중심 통로와 외측 슬리브 (도시된 스템 (780) 의 하부 부분) 사이에 개재되는 통로들의 원형 어레이를 포함한다. 이 예에서, 스템 내의 가스 플로우 통로들의 원형 어레이는 가스를 제 1 가스 유입부 (712) (배면 플레이트 (702) 의 중심 홀 주위에 배열된 6개의 홀들) 에 제공하고, 그리고 중심 가스 플로우 통로는 가스를 제 2 가스 유입부 (714) (배면 플레이트 (702) 내의 중심 홀) 에 제공한다. 샤워헤드 (700) 는 또한 제 1 파티션 플레이트 (708), 제 2 파티션 플레이트 (710), 배플 플레이트 (778), 및 대면 플레이트 (704) 를 포함할 수도 있다.
도 8은 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 제 1 파티션 플레이트의 평면도를 도시한다. 제 1 파티션 플레이트 (708) 는 제 1 파티션 플레이트 (108) 과 매우 유사하지만, 적어도 2개의 차이점들을 갖는다. 예를 들어, 제 1 유입부 플레넘 볼륨 (742) 의 중심이 위치되는 상승된 중심 보스 (782) 가 있을 수도 있고; 이 상승된 중심 보스 (782) 는, 제 1 유입부 플레넘 볼륨을 통해 제 1 프로세스 가스를 흘리는 시간량을 감소시키도록 제 1 유입부 플레넘 볼륨 (742) 의 사이즈를 감소시키는 역할을 할 수도 있고, 또한, 일부 구현예들에서, 제 1 가스 유입부 (712) 로서 역할을 하는 6개의 포트들로부터의 가스 플로우를 안정시키게 도울 수도 있다. 일부 구현예들에서, 제 1 유입부 플레넘 볼륨은 복수의 제 1 유입부 플레넘 서브-볼륨들로 파티셔닝될 수도 있고, 제 1 유입부 플레넘 서브-볼륨 각각은 제 1 가스 유입부들 중 상이한 하나에 의해 피딩된다. 다른 차이점은 제 1 파티션 플레이트 (708) 를 통한 복수의 제 2 홀들 (758) 이 있다는 것이다.
도 9는 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 배플 플레이트의 평면도를 도시한다. 이 구현예에서, 배플 플레이트 (778) 는, 제 1 지지 칼럼들 (764) 이 보다 많고 그리고 제 1 지지 칼럼들 (164) 과는 상이하게 배치된다는 것을 제외하고, 대면 플레이트 (104) 와 매우 유사하다. 도 7에 보이는 바와 같이, 제 1 지지 칼럼 (764) 각각은 제 1 파티션 플레이트 (708) 내의 제 2 홀들 (758) 중 하나의 제 2 홀에 대한 위치에 대응하고, 그리고 대응하는 제 2 홀 (758) 은 제 1 지지 칼럼 (764) 을 통해 계속된다. 따라서, 제 1 지지 칼럼들 (764) 은 구조적 지지 및 제 1 파티션 플레이트 (708) 와 배플 플레이트 (778) 사이의 열 전도성 경로를 제공할 뿐만 아니라, 제 1 가스 분배 플레넘 볼륨 (746) 내에 있는 가스로부터 격리된 이러한 가스를 유지하는 배플 플레이트를 통한 가스 플로우를 위한 길을 제공한다. 대면 플레이트 (104) 와 마찬가지로, 배플 플레이트 (778) 는 제 1 가스 분배 플레넘 볼륨 (746) 과 제 1 가스 플로우 통로들 (738) 중 하나의 제 1 가스 플로우 통로를 유체적으로 연결시키는 제 1 홀 (756) (나중에 도시됨) 아래에 각각 위치된 복수의 제 1 상승된 보스들 (760) 을 포함할 수도 있다. 대면 플레이트 (104) 와 마찬가지로, 배플 플레이트 (778) 는 가스를 제 1 가스 분배 플레넘 볼륨 (746) 으로부터 제 1 가스 분배 플레넘 바로 아래의 제 2 가스 분배 플레넘 볼륨 또는 샤워헤드 (700) 아래의 웨이퍼 프로세싱 영역으로 공급할 수도 있는 복수의 제 1 가스 분배 포트들 (734) 을 포함할 수도 있다.
도 10은 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 제 2 파티션 플레이트의 평면도를 도시한다. 제 2 파티션 플레이트 (710) 는 제 1 파티션 플레이트 (708) 와 유사한 기능을 제공할 수도 있지만, 제 2 가스 유입부 (714) 에 대해서는 아니다. 보이는 바와 같이, 복수의 실질적으로 동일한 길이의 제 2 가스 플로우 통로들 (740) 은 제 2 홀들 (758) 을 통해 제 2 유입부 플레넘 볼륨 (744) 을 제 2 가스 분배 플레넘 볼륨 (748) (도 11 참조) 과 유체적으로 연결시키고; 이 예에서, 제 2 가스 분배 플레넘 볼륨 (748) 은 배플 플레이트 (778) 와 대면 플레이트 (704) 사이에 형성된 플레넘 볼륨이다. 이 경우에, 그리고 제 1 가스 플로우 통로들 (738) 과 마찬가지로, 제 2 가스 플로우 통로들 (740) 은 제 2 유입부 플레넘 볼륨 (744) 과 연결하는 제 1 단부들 (750) 및 제 2 홀들 (758) 과 유체적으로 연결되는 제 2 단부들 (752) 을 가질 수도 있다. 보이는 바와 같이, 제 2 가스 플로우 통로 (740) 각각은 또한 제 1 가스 플로우 통로들 (738) 내의 벤딩부들 (754) 과 유사할 수도 있는 벤딩부 (754) 를 포함할 수도 있지만, 보이는 바와 같이, 벤딩 각들은 보다 릴랙스될 (relaxed) 수도 있다. 제 1 유입부 플레넘 볼륨 (742) 내의 제 1 반도 모양의 돌기들 (768) 과 마찬가지로, 제 2 유입부 플레넘 볼륨 (744) 은 또한 제 2 홀들 (758) 중 일부로 하여금 제 2 유입부 플레넘 볼륨 (744) 의 외측 주변 내에 위치되게 하는 복수의 제 2 반도 모양의 돌기들 (770) 을 포함할 수도 있다.
도 11은 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 대면 플레이트의 평면도를 도시한다. 대면 플레이트 (704) 는 복수의 제 2 가스 분배 포트들 (736) 및 복수의 제 1 가스 분배 포트들 (734) 을 포함할 수도 있다. 제 2 가스 분배 플레넘 볼륨 (748) 은 대면 플레이트 (704) 와 배플 플레이트 (77) 사이에 형성될 수도 있고, 그리고 제 2 홀들 (758) 에 대한 미니 배플들로서 역할을 하는 제 2 상승된 보스들 (762) 의 패턴, 제 1 홀들 (756) 에 대한 미니 배플들로서 역할을 하는 제 1 상승된 보스들 (760) 의 패턴을 포함할 수도 있다.
일부 구현예들에서, 배플 플레이트 (778) 내의 제 1 가스 분배 포트 (734) 각각은, 제 1 가스 분배 포트들 (734) 을 통해 흐르는 가스를 샤워헤드 (700) 내의 제 2 가스 분배 플레넘 볼륨 (748) 을 통해 흐르는 가스로부터 격리시키는 튜브 구조 (784) 에 의해 대면 플레이트 (704) 내의 대응하는 제 1 가스 분배 포트 (734) 에 유체적으로 연결될 수도 있다.
도 12는 도 7의 예시적인 과도현상 방지, 듀얼-플레넘 샤워헤드의 등축 단면도를 도시하고, 그리고 샤워헤드 (700) 의 구조에 관한 보다 많은 통찰력을 제공할 수도 있다.
제 1 유입부 플레넘 볼륨 (742) 및 제 1 가스 분배 플레넘 볼륨 (746) 과 마찬가지로, 제 2 유입부 플레넘 볼륨 (744) 및 제 2 가스 분배 플레넘 볼륨 (748) 은 또한 다양한 표면들에 의해 경계를 이룰 수도 있다. 이러한 표면들은 도 7에 제시되어 있다. 예를 들어, 제 2 유입부 플레넘 볼륨 (744) 은 부분적으로, 제 5 표면 (724) 및 제 7 표면 (728) 에 의해 경계를 이룰 수도 있고, 반면에, 제 2 가스 분배 플레넘 볼륨 (748) 은 부분적으로, 제 6 표면 (726) 및 제 8 표면 (730) 에 의해 경계를 이룰 수도 있다.
멀티-플레넘 샤워헤드들에서, 가스 분배 플레넘들에 대한 유입부 플레넘들의 포지셔닝은, 임의의 특정한 설계에 필요하다면 재배열될 수도 있고 - 유입부 플레넘들은 도시된 배열일 필요는 없다. 예를 들어, 도시된 구현예들에서, 제 1 유입부 플레넘 볼륨 및 제 1 가스 분배 플레넘 볼륨은 제 2 유입부 플레넘 볼륨과 제 2 가스 분배 플레넘 볼륨 사이에서 브래킷된다 (bracketed). 그러나, 다른 구현예들에서, 이 순서는 변경될 수도 있다. 비제한적인 예로서, 임의의 다음의 순서들이 또한 이 개념의 다양한 구현예들에서 사용될 수도 있다:
구현예 1 구현예 2 구현예 3 구현예 4
제 1 유입부 플레넘 볼륨 제 2 유입부 플레넘 볼륨 제 1 유입부 플레넘 볼륨 제 2 유입부 플레넘 볼륨
제 2 유입부 플레넘 볼륨 제 1 유입부 플레넘 볼륨 제 2 유입부 플레넘 볼륨 제 1 유입부 플레넘 볼륨
제 2 가스 분배 플레넘 볼륨 제 2 가스 분배 플레넘 볼륨 제 2 가스 분배 플레넘 볼륨 제 1 가스 분배 플레넘 볼륨
제 1 가스 분배 플레넘 볼륨 제 1 가스 분배 플레넘 볼륨 제 1 가스 분배 플레넘 볼륨 제 2 가스 분배 플레넘 볼륨
이러한 경우들에서, 플레넘 볼륨들 사이에 개재된 제 2 가스를 위한 플레넘 볼륨들을 가진 제 1 가스를 위한 플레넘 볼륨들은, 제 1 가스를 위한 플레넘들을 유체적으로 연결시키는 홀들, 예를 들어, 제 1 홀들로 하여금 제 2 가스를 위한 가스 플로우 통로들 사이 또는 제 2 가스를 위한 플레넘(들) 내의 지지 칼럼들을 통해 지나가게 함으로써 유체적으로 연결될 수도 있다.

Claims (15)

  1. 제 1 가스 유입부;
    제 1 표면으로서, 상기 제 1 가스 유입부가 상기 제 1 표면을 통해 제 1 프로세스 가스를 전달하도록 구성되는, 상기 제 1 표면;
    복수의 제 1 가스 분배 포트들;
    제 2 표면으로서, 상기 제 1 가스 분배 포트들이 상기 제 2 표면을 통해 상기 제 1 프로세스 가스를 전달하도록 구성되는, 상기 제 2 표면;
    상기 제 1 표면과 상기 제 2 표면 사이에 개재된 제 3 표면;
    상기 제 3 표면과 상기 제 2 표면 사이에 개재된 제 4 표면; 및
    상기 제 1 표면과 상기 제 3 표면 사이에 개재된 복수의 제 1 가스 플로우 통로들을 포함하고,
    상기 제 1 표면과 상기 제 3 표면은 상기 제 1 가스 유입부와 유체적으로 (fluidically) 연결되는 제 1 유입부 플레넘 볼륨을 적어도 부분적으로 규정하고,
    상기 제 2 표면과 상기 제 4 표면은 상기 제 1 가스 분배 포트들과 유체적으로 연결되는 제 1 가스 분배 플레넘 볼륨을 적어도 부분적으로 규정하고,
    상기 제 1 가스 플로우 통로들 각각은 상기 제 1 가스 플로우 통로를 상기 제 1 유입부 플레넘 볼륨과 유체적으로 연결시키는 제 1 단부 및 상기 제 1 가스 플로우 통로를 상기 제 1 가스 분배 플레넘 볼륨과 유체적으로 연결시키는 제 2 단부를 갖고, 그리고
    상기 제 1 가스 플로우 통로 각각은 전체 길이가 실질적으로 동일하고, 상기 제 1 단부에서의 상기 제 1 유입부 플레넘 볼륨으로부터 멀어지게 연장되고, 그리고 상기 제 1 가스 플로우 통로의 상기 제 2 단부가 상기 제 1 유입부 플레넘 볼륨을 향해 배향되도록 상기 제 1 단부와 상기 제 2 단부 사이에 140° 내지 200°의 벤딩부들 (bends) 을 포함하는, 장치.
  2. 제 1 항에 있어서,
    상기 제 1 가스 플로우 통로 각각의 상기 제 2 단부는, 상기 제 4 표면을 통과하는 대응하는 제 1 홀에 의해 상기 제 1 가스 분배 플레넘 볼륨과 유체적으로 연결되고,
    복수의 제 1 상승된 보스들 (boss) 은 상기 제 2 표면으로부터 상기 제 4 표면을 향해 상측으로 연장하고,
    상기 제 1 상승된 보스 각각은 상기 제 1 홀들 중 하나의 제 1 홀 상에 중심이 위치되고, 그리고
    상기 제 1 상승된 보스 각각은 0.025 ㎜ 내지 1.2 ㎜의 거리만큼 상기 제 4 표면으로부터 오프셋되는, 장치.
  3. 제 1 항에 있어서,
    상기 제 1 가스 플로우 통로 각각의 상기 제 2 단부는, 상기 제 4 표면을 통과하는 대응하는 제 1 홀에 의해 상기 제 1 가스 분배 플레넘 볼륨과 유체적으로 연결되고,
    복수의 제 1 상승된 보스들은 상기 제 2 표면으로부터 상기 제 4 표면을 향해 상측으로 연장하고,
    상기 제 1 상승된 보스 각각은 상기 제 1 홀들 중 하나의 제 1 홀 상에 중심이 위치되고 공칭 직경을 갖고, 그리고
    상기 제 1 상승된 보스 각각은 1/11의 공칭 직경 내지 1/13의 공칭 직경의 거리만큼 상기 제 4 표면으로부터 오프셋되는, 장치.
  4. 제 1 항에 있어서,
    상기 제 1 가스 플로우 통로 각각의 상기 제 2 단부는, 상기 제 4 표면을 통과하는 대응하는 제 1 홀에 의해 상기 제 1 가스 분배 플레넘 볼륨과 유체적으로 연결되고,
    상기 제 1 홀 각각은 공칭 홀 직경을 갖고,
    복수의 제 1 상승된 보스들은 상기 제 2 표면으로부터 상기 제 4 표면을 향해 상측으로 연장하고,
    상기 제 1 상승된 보스 각각은 상기 제 1 홀들 중 하나의 제 1 홀 상에 중심이 위치되고 공칭 보스 직경을 갖고, 그리고
    상기 제 1 상승된 보스 각각은, 상기 공칭 보스 직경과 상기 공칭 홀 직경 사이의 차의 2 배 미만이고 상기 공칭 보스 직경과 상기 공칭 홀 직경 사이의 차의 0.2 배 초과인 거리만큼 상기 제 4 표면으로부터 오프셋되는, 장치.
  5. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상이한 서브세트의 상기 제 1 가스 분배 포트들은 상기 제 1 상승된 보스들 각각에 인접하고 그리고 상기 제 1 상승된 보스 각각은 상기 제 1 상승된 보스에 인접한 상기 복수의 제 1 가스 분배 포트들 내의 상기 제 1 가스 분배 포트들 사이에 중심이 위치되는, 장치.
  6. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 복수의 제 1 지지 칼럼들 (support columns) 을 더 포함하고, 상기 제 1 지지 칼럼들은 상기 제 2 표면과 상기 제 4 표면 사이에 걸치는 (span), 장치.
  7. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 홀들은 1.5 ㎜ 내지 3 ㎜의 직경들을 갖는, 장치.
  8. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 보스들은 5 ㎜ 내지 8 ㎜의 직경들을 갖는, 장치.
  9. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    복수의 제 1 반도 모양의 돌기들 (peninsulas) 을 더 포함하고,
    상기 제 1 유입부 플레넘 볼륨은 제 1 중심 지점을 갖고,
    상기 제 1 반도 모양의 돌기 각각은 상기 제 1 유입부 플레넘 볼륨 내로 돌출하고,
    상기 하나 이상의 제 1 가스 플로우 통로들의 상기 제 2 단부는 상기 제 1 반도 모양의 돌기들 각각 내로 연장하고, 그리고
    상기 제 1 반도 모양의 돌기들 내의 상기 제 1 가스 플로우 통로들의 상기 제 2 단부는 상기 제 1 가스 플로우 통로들의 상기 제 1 단부들보다 상기 제 1 중심 지점에 더 가까운, 장치.
  10. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 가스 플로우 통로 각각은 상기 제 1 단부와 상기 제 2 단부 사이에 150° 내지 190°의 벤딩부들을 포함하는, 장치.
  11. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 가스 플로우 통로들 각각은, 상기 다른 제 1 가스 플로우 통로들의 길이의 ± 5 %, 다른 제 1 가스 플로우 통로들의 길이의 ± 10 %, 다른 제 1 가스 플로우 통로들의 길이의 ± 20 %, 및 다른 제 1 가스 플로우 통로들의 길이의 ± 30 %로 구성된 그룹으로부터 선택된 범위 내의 길이를 갖는, 장치.
  12. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 가스 플로우 통로들 각각은 통로의 길이를 따라 일정한 단면적을 갖는, 장치.
  13. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 가스 플로우 통로들 각각의 상기 제 1 단부는 상기 장치의 제 1 축으로부터 등거리에 있는, 장치.
  14. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 장치는 20 내지 100개의 제 1 가스 플로우 통로들을 포함하는, 장치.
  15. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    제 2 가스 유입부;
    제 5 표면으로서, 상기 제 2 가스 유입부는 상기 제 5 표면을 통해 제 2 프로세스 가스를 전달하도록 구성되는, 상기 제 5 표면;
    복수의 제 2 가스 분배 포트들;
    제 6 표면으로서, 상기 제 2 가스 분배 포트들은 상기 제 6 표면을 통해 상기 제 2 프로세스 가스를 전달하도록 구성되는, 상기 제 6 표면;
    상기 제 5 표면과 상기 제 6 표면 사이에 개재된 제 7 표면;
    상기 제 6 표면과 상기 제 7 표면 사이에 개재된 제 8 표면; 및
    상기 제 5 표면과 상기 제 7 표면 사이에 개재된 복수의 제 2 가스 플로우 통로들을 더 포함하고,
    상기 제 5 표면과 상기 제 7 표면은 상기 제 2 가스 유입부와 유체적으로 연결되는 제 2 유입부 플레넘 볼륨을 적어도 부분적으로 규정하고,
    상기 제 6 표면과 상기 제 8 표면은 상기 제 2 가스 분배 포트들과 유체적으로 연결되는 제 2 가스 분배 플레넘 볼륨을 적어도 부분적으로 규정하고,
    상기 제 2 가스 플로우 통로들 각각은 상기 제 2 가스 플로우 통로를 상기 제 2 유입부 플레넘 볼륨과 유체적으로 연결시키는 제 1 단부 및 상기 제 2 가스 플로우 통로를 상기 제 2 가스 분배 플레넘 볼륨과 유체적으로 연결시키는 제 2 단부를 갖고, 그리고
    상기 제 2 가스 플로우 통로 각각은 전체 길이가 실질적으로 동일하고, 상기 제 1 단부에서의 상기 제 2 유입부 플레넘 볼륨으로부터 멀어지게 연장되고, 그리고 상기 제 2 가스 플로우 통로의 상기 제 2 단부가 상기 제 2 유입부 플레넘 볼륨을 향해 배향되도록 상기 제 1 단부와 상기 제 2 단부 사이에 140° 내지 200°의 벤딩부들을 포함하는, 장치.
KR1020160063881A 2015-05-26 2016-05-25 과도현상 방지 샤워헤드 KR102511464B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230033201A KR20230042234A (ko) 2015-05-26 2023-03-14 과도현상 방지 샤워헤드

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562166612P 2015-05-26 2015-05-26
US62/166,612 2015-05-26
US15/163,594 2016-05-24
US15/163,594 US10023959B2 (en) 2015-05-26 2016-05-24 Anti-transient showerhead

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230033201A Division KR20230042234A (ko) 2015-05-26 2023-03-14 과도현상 방지 샤워헤드

Publications (2)

Publication Number Publication Date
KR20160138911A true KR20160138911A (ko) 2016-12-06
KR102511464B1 KR102511464B1 (ko) 2023-03-16

Family

ID=57398130

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160063881A KR102511464B1 (ko) 2015-05-26 2016-05-25 과도현상 방지 샤워헤드
KR1020230033201A KR20230042234A (ko) 2015-05-26 2023-03-14 과도현상 방지 샤워헤드

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230033201A KR20230042234A (ko) 2015-05-26 2023-03-14 과도현상 방지 샤워헤드

Country Status (4)

Country Link
US (2) US10023959B2 (ko)
KR (2) KR102511464B1 (ko)
CN (2) CN109536924B (ko)
TW (1) TWI689353B (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN111433902A (zh) 2017-12-08 2020-07-17 朗姆研究公司 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头
US11189502B2 (en) * 2018-04-08 2021-11-30 Applied Materials, Inc. Showerhead with interlaced gas feed and removal and methods of use
SG11202009888RA (en) * 2018-04-17 2020-11-27 Applied Materials Inc Heated ceramic faceplate
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
WO2021076527A1 (en) * 2019-10-14 2021-04-22 Lam Research Corporation Dual plenum fractal showerhead
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
US20230097687A1 (en) * 2021-09-30 2023-03-30 Entegris, Inc. Additive manufactured articles having coated surfaces and related methods

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110138730A (ko) * 2010-06-21 2011-12-28 세메스 주식회사 박막 증착 장치
KR20140000168A (ko) * 2012-06-22 2014-01-02 노벨러스 시스템즈, 인코포레이티드 에지-중심 가스 전달을 갖는 이중 플리넘 축대칭성 샤워헤드
KR20140011364A (ko) * 2011-03-04 2014-01-28 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
KR20140029334A (ko) * 2012-08-31 2014-03-10 노벨러스 시스템즈, 인코포레이티드 내부 배플 콘덕턴스의 변경에 의한 가변 샤워헤드 흐름
KR20140084308A (ko) * 2011-10-28 2014-07-04 어플라이드 머티어리얼스, 인코포레이티드 가스 분산 장치
KR20140121372A (ko) * 2013-04-05 2014-10-15 노벨러스 시스템즈, 인코포레이티드 과도 균일성을 위한 캐스케이드 설계 샤워헤드
KR20150056631A (ko) * 2012-09-21 2015-05-26 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세스 장비에서의 화학물질 제어 피쳐들
KR20150126789A (ko) * 2014-05-05 2015-11-13 램 리써치 코포레이션 다공성 베플을 갖는 저 볼륨 샤워헤드
KR20160016622A (ko) * 2014-07-31 2016-02-15 램 리써치 코포레이션 방위각 믹서

Family Cites Families (418)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
BE628052A (ko) 1962-03-15
US4315173A (en) 1980-03-30 1982-02-09 Westinghouse Electric Corp. Dynamoelectric machines segmental air gap baffle assembly
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4647512A (en) 1986-03-20 1987-03-03 The Perkin-Elmer Corporation Diamond-like carbon films and process for production thereof
US4671204A (en) 1986-05-16 1987-06-09 Varian Associates, Inc. Low compliance seal for gas-enhanced wafer cooling in vacuum
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
JP3147392B2 (ja) 1991-03-04 2001-03-19 宇部サイコン株式会社 熱可塑性樹脂組成物
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH05267186A (ja) 1992-03-18 1993-10-15 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
JP3120302B2 (ja) 1992-04-30 2000-12-25 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造法
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP2870719B2 (ja) 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
CH687258A5 (de) 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
ATE181969T1 (de) 1994-03-29 1999-07-15 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
EP0710055B1 (en) 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5855465A (en) 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5728260A (en) 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP4048387B2 (ja) 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
JP3208376B2 (ja) 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6150544A (en) 1998-06-30 2000-11-21 Japan Polyolefins Co., Ltd. Transition metal compound and catalyst containing the same for polymerization of olefins
KR100343134B1 (ko) 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP3320387B2 (ja) 1998-09-07 2002-09-03 キヤノン株式会社 電子源の製造装置及び製造方法
US6191011B1 (en) 1998-09-28 2001-02-20 Ag Associates (Israel) Ltd. Selective hemispherical grain silicon deposition
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP4149051B2 (ja) 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6241825B1 (en) 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245149B1 (en) 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6539963B1 (en) 1999-07-14 2003-04-01 Micron Technology, Inc. Pressurized liquid diffuser
US6419751B1 (en) 1999-07-26 2002-07-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6205869B1 (en) * 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
EP1240366B1 (en) 1999-12-22 2003-07-09 Aixtron AG Chemical vapor deposition reactor and process chamber for said reactor
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
JP3953247B2 (ja) 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
JP3654142B2 (ja) 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
JP3483537B2 (ja) 2000-03-06 2004-01-06 キヤノン株式会社 画像表示装置の製造方法
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
AU4351601A (en) 2000-03-09 2001-09-17 Semix Inc Wafer processing apparatus and method
US6848961B2 (en) 2000-03-16 2005-02-01 Canon Kabushiki Kaisha Method and apparatus for manufacturing image displaying apparatus
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP3667256B2 (ja) 2000-06-30 2005-07-06 キヤノン株式会社 電子源の製造装置
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR100750018B1 (ko) 2000-07-26 2007-08-16 동경 엘렉트론 주식회사 반도체 기판의 처리를 위한 고압 챔버 및 반도체 기판의고압 처리를 위한 장치
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
EP1327010B1 (en) 2000-09-28 2013-12-04 President and Fellows of Harvard College Vapor deposition of silicates
JP3793014B2 (ja) 2000-10-03 2006-07-05 キヤノン株式会社 電子源の製造装置、電子源の製造方法及び画像形成装置の製造方法
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
WO2002045561A2 (en) 2000-11-20 2002-06-13 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
KR100516844B1 (ko) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
KR101004222B1 (ko) 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP2002260218A (ja) 2001-03-05 2002-09-13 Anelva Corp 磁気記録ディスク、磁気記録ディスク製造方法及び磁気記録ディスク製造装置
US6939206B2 (en) 2001-03-12 2005-09-06 Asm Nutool, Inc. Method and apparatus of sealing wafer backside for full-face electrochemical plating
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6534802B1 (en) 2001-05-07 2003-03-18 Newport Fab, Llc Method for reducing base to collector capacitance and related structure
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6551339B2 (en) 2001-08-23 2003-04-22 Stas Gavronsky Acupuncture device with improved needle guide tube
US20030047282A1 (en) 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US20030070760A1 (en) 2001-10-15 2003-04-17 Plasmion Corporation Method and apparatus having plate electrode for surface treatment using capillary discharge plasma
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
AU2002343583A1 (en) 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
TWI291487B (en) 2002-01-08 2007-12-21 Chi Mei Optoelectronics Corp Red-emitting organic electroluminescent compound
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6586349B1 (en) 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US7250083B2 (en) 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
JP2003271218A (ja) 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US7208195B2 (en) 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
WO2003083167A1 (en) 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7473377B2 (en) 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
CA2529095A1 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
CN100419939C (zh) 2003-01-21 2008-09-17 佳能株式会社 通电处理方法和电子源衬底的制造方法
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US7225820B2 (en) 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
JP4591651B2 (ja) 2003-02-27 2010-12-01 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
KR100490049B1 (ko) 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20040261712A1 (en) 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
DE112004000057B4 (de) 2003-05-27 2008-09-25 Matsushita Electric Works, Ltd., Kadoma Plasmabehandlungsapparat und Plasmabehandlungsverfahren
US20050178336A1 (en) 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20070248515A1 (en) 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7700155B1 (en) 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027611A1 (en) 2004-07-23 2006-02-09 Hobbs Wade T Baffled water bottle
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP5519105B2 (ja) 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
JP2006066272A (ja) 2004-08-27 2006-03-09 Canon Inc 画像表示装置
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
CN101053063B (zh) 2004-09-01 2012-10-03 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100790392B1 (ko) 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
DE102005056324A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
CN101003895B (zh) * 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US7670432B2 (en) 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US7883632B2 (en) 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
JP2008047869A (ja) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
JP2008027796A (ja) 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008066413A (ja) 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
JP2008088228A (ja) * 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US20080299326A1 (en) 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
KR20090078538A (ko) 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
USD593640S1 (en) 2008-01-31 2009-06-02 Hansgrohe Ag Showerhead
JP5230225B2 (ja) 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US20090260571A1 (en) 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5026373B2 (ja) 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
JP2010084190A (ja) 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
WO2010101369A2 (ko) 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP4576466B2 (ja) 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101112974B1 (ko) 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
KR20120053003A (ko) 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP4840832B2 (ja) 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
CN102971449B (zh) 2010-07-12 2015-01-14 株式会社爱发科 成膜装置
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8911553B2 (en) 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR101306315B1 (ko) 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20120227665A1 (en) 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
JP6136613B2 (ja) 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
CN103521956A (zh) * 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US10107490B2 (en) * 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110138730A (ko) * 2010-06-21 2011-12-28 세메스 주식회사 박막 증착 장치
KR20140011364A (ko) * 2011-03-04 2014-01-28 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
KR20140084308A (ko) * 2011-10-28 2014-07-04 어플라이드 머티어리얼스, 인코포레이티드 가스 분산 장치
KR20140000168A (ko) * 2012-06-22 2014-01-02 노벨러스 시스템즈, 인코포레이티드 에지-중심 가스 전달을 갖는 이중 플리넘 축대칭성 샤워헤드
KR20140029334A (ko) * 2012-08-31 2014-03-10 노벨러스 시스템즈, 인코포레이티드 내부 배플 콘덕턴스의 변경에 의한 가변 샤워헤드 흐름
KR20150056631A (ko) * 2012-09-21 2015-05-26 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세스 장비에서의 화학물질 제어 피쳐들
KR20140121372A (ko) * 2013-04-05 2014-10-15 노벨러스 시스템즈, 인코포레이티드 과도 균일성을 위한 캐스케이드 설계 샤워헤드
KR20150126789A (ko) * 2014-05-05 2015-11-13 램 리써치 코포레이션 다공성 베플을 갖는 저 볼륨 샤워헤드
KR20160016622A (ko) * 2014-07-31 2016-02-15 램 리써치 코포레이션 방위각 믹서

Also Published As

Publication number Publication date
US20160348242A1 (en) 2016-12-01
KR20230042234A (ko) 2023-03-28
US10494717B2 (en) 2019-12-03
CN109536924A (zh) 2019-03-29
KR102511464B1 (ko) 2023-03-16
TW201713413A (zh) 2017-04-16
CN106191814B (zh) 2018-10-26
US20180340256A1 (en) 2018-11-29
US10023959B2 (en) 2018-07-17
CN106191814A (zh) 2016-12-07
CN109536924B (zh) 2022-04-05
TWI689353B (zh) 2020-04-01

Similar Documents

Publication Publication Date Title
KR102511464B1 (ko) 과도현상 방지 샤워헤드
US20210371982A1 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102535931B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
TWI797332B (zh) 具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器
US20090211707A1 (en) Apparatus for gas distribution and its applications
KR20170071552A (ko) 모놀리식 가스 분배 매니폴드 및 이들의 다양한 구성 기법들 및 사용 케이스들
KR20160136238A (ko) 열 제어된 대면 플레이트를 가진 내부식성 가스 분배 매니폴드
KR20200087267A (ko) 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
JP4971376B2 (ja) 気体噴射モジュール
JP7407529B2 (ja) 基板載置台、基板処理装置及び温度制御方法
KR102500928B1 (ko) 듀얼 플레넘 프랙탈 샤워헤드
JP2023513001A (ja) 斜行流路を有するガス分配フェースプレート
CN115720681A (zh) 真实自由基处理的远程等离子体架构
US20230383406A1 (en) Axially cooled metal showerheads for high temperature processes
WO2024039811A1 (en) Dual-channel monoblock gas manifold

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant