KR20150126789A - 다공성 베플을 갖는 저 볼륨 샤워헤드 - Google Patents

다공성 베플을 갖는 저 볼륨 샤워헤드 Download PDF

Info

Publication number
KR20150126789A
KR20150126789A KR1020150062683A KR20150062683A KR20150126789A KR 20150126789 A KR20150126789 A KR 20150126789A KR 1020150062683 A KR1020150062683 A KR 1020150062683A KR 20150062683 A KR20150062683 A KR 20150062683A KR 20150126789 A KR20150126789 A KR 20150126789A
Authority
KR
South Korea
Prior art keywords
showerhead
volume
baffle
gas
holes
Prior art date
Application number
KR1020150062683A
Other languages
English (en)
Other versions
KR102333103B1 (ko
Inventor
라메시 찬드라세카란
샹루트 상푸릉
샹카르 스와미나탄
프랭크 파스콸레
후 강
애드리언 라보이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150126789A publication Critical patent/KR20150126789A/ko
Priority to KR1020210164496A priority Critical patent/KR20210145114A/ko
Application granted granted Critical
Publication of KR102333103B1 publication Critical patent/KR102333103B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Abstract

반도체 프로세싱 장치 내의 샤워헤드는 원자 층 증착 동안에 흐름 균일도 및 퍼지 시간을 개선하기 위해서 다공성 베플을 포함할 수 있다. 이 샤워헤드는 플레넘 볼륨, 플레넘 볼륨과 유체적으로 연통하는 하나 이상의 가스 유입부들, 반도체 프로세싱 장치 내의 기판 상으로 가스를 분배하기 위한 복수의 제 1 관통-홀들을 포함하는 대면플레이트, 및 플레넘 볼륨과 하나 이상의 가스 유입부들 간의 영역에 위치한 다공성 베플을 포함한다. 하나 이상의 가스 유입부들은 퍼지 시간을 개선하기 위해서 작은 볼륨을 갖는 스템을 포함할 수 있다. 베플은 흐름 균일도를 개선하고 분사 (jetting) 를 억제하기 위해서 다공성이며 스템과 플레넘 볼륨 간에 위치할 수 있다.

Description

다공성 베플을 갖는 저 볼륨 샤워헤드{LOW VOLUME SHOWERHEAD WITH POROUS BAFFLE}
본 개시는 전반적으로 반도체 프로세싱 장치들 내에서 가스를 분배하기 위한 샤워헤드들에 관한 것이다. 본 개시의 특정 양태들은 원자 층 증착 프로세스들에서 가스를 분배하기 위한 다공성 베플 (porous baffle) 을 갖는 저 볼륨 샤워헤드 (low volume showerhead) 에 관한 것이다.
반도체 프로세싱 툴들은 때로 반도체 기판 또는 웨이퍼에 걸쳐서 상대적으로 균일한 방식으로 프로세스 가스들을 분배하도록 설계된 컴포넌트들을 포함한다. 이러한 컴포넌트들은 통상적으로 "샤워헤드"로서 본 산업분야에서 지칭된다. 샤워헤드들은 전형적으로 일부 종류의 플레넘 볼륨을 대면하는 대면플레이트 (faceplate) 를 포함한다. 대면플레이트는 플레넘 볼륨 내의 가스가 대면플레이트를 통과하여서 기판과 대면플레이트 간의 (또는 웨이퍼를 지지하는 웨이퍼 지지부와 대면플레이트 간의) 반응 공간 내로 유동하게 하는 복수의 관통-홀들을 포함할 수 있다. 이 관통-홀들은 전형적으로, 웨이퍼에 걸친 가스 분배가 실질적으로 균일한 기판 프로세싱을 낳도록 배열된다.
본 개시는 반도체 프로세싱 장치에서 사용되는 샤워헤드에 관한 것이다. 샤워헤드는 제 1 표면 및 제 1 표면 반대편의 제 2 표면을 갖는 플레넘 볼륨을 포함하고, 제 1 표면 및 제 2 표면은 적어도 부분적으로 샤워헤드의 플레넘 볼륨을 규정한다. 샤워헤드는 또한 플레넘 볼륨과 유체적으로 연통하는 하나 이상의 가스 유입부들을 포함하고, 복수의 제 1 관통-홀들을 포함하는 대면플레이트, 및 복수의 제 2 관통-홀들을 포함하는 베플을 포함한다. 복수의 제 1 관통-홀들은 대면플레이트의 제 1 측면으로부터 제 2 측면으로 연장되며, 대면플레이트의 제 1 측면은 플레넘 볼륨의 제 1 표면을 규정한다. 베플은 복수의 제 2 관통-홀들을 포함하며, 베플은 플레넘 볼륨과 하나 이상의 가스 유입부들 간의 영역에 위치된다.
일부 구현예들에서, 대면플레이트의 직경은 베플의 직경보다 적어도 4 배 크다. 샤워헤드의 볼륨은 약 50 밀리리터 내지 약 500 밀리리터이다. 일부 구현예들에서, 베플의 기공율은 약 5% 내지 약 25% 이다. 일부 구현예들에서, 복수의 제 2 관통-홀들은 베플의 중앙보다는 베플의 에지들을 향해서 위치한다. 일부 구현예들에서, 샤워헤드는 대면플레이트에 대향하는 백플레이트 (backplate) 를 더 포함하며, 백플레이트의 일 측면은 플레넘 볼륨의 제 2 측면을 규정하고 (define), 플레넘 볼륨과 하나 이상의 가스 유입부들 간의 영역은 플레넘 볼륨의 제 2 표면을 규정하는 백플레이트의 측면 내로 리세스된다 (recessed).
본 개시는 또한 전술한 샤워헤드를 포함하는 반도체 프로세싱 스테이션에 관한 것이다. 반도체 프로세싱 스테이션은 제어기를 포함하며, 제어기는, 기판을 반도체 프로세싱 스테이션 내로 제공하는 동작; 기판의 표면 상으로 흡착되도록 반응물 가스를 샤워헤드를 통해서 반도체 프로세싱 스테이션 내로 도입하는 동작; 샤워헤드를 통해서 퍼지 가스를 반도체 프로세싱 스테이션 내로 도입하는 동작; 및 기판의 표면 상에 흡착된 반응물 가스로부터 박막 층을 형성하도록 플라즈마를 인가하는 동작을 수행하기 위한 인스트럭션들로 구성된다.
일부 구현예들에서, 박막 층의 막 불균일도는 약 0.5% 미만이다. 일부 구현예들에서, 불균일도는 가스 반응물을 도입하는 동작, 퍼지 가스를 도입하는 동작 및 플라즈마를 인가하는 동작 중 하나 이상과 연관된 하나 이상의 프로세스 파라미터들과는 분리된다 (decoupled). 일부 구현예들에서, 원자 층 증착 (ALD) 사이클에서 박막 층을 형성하는 것은 약 1.5 초 내에서 수행된다.
본 개시는 또한 전술한 반도체 프로세싱 스테이션을 포함하는 반도체 프로세싱 툴에 관한 것이다. 일부 구현예들에서, 반도체 프로세싱 툴은 스텝퍼를 포함한다.
도 1은 베플을 갖는 예시적인 샤워헤드의 아이소메트릭 (isometric) 단면 뷰를 도시한다.
도 2는 다공성 베플을 갖는 예시적인 저 볼륨 샤워헤드의 아이소메트릭 단면 뷰를 도시한다.
도 3은 도 2의 저 볼륨 샤워헤드 내의 다공성 베플의 확대된 아이소메트릭 단면 뷰를 도시한다.
도 4는 2 개의 예시적인 샤워헤드들의 측 단면 뷰들의 나란한 비교를 도시한다.
도 5는 저 볼륨 샤워헤드 내의 다공성 베플 및 대면플레이트에 대한 관통-홀들의 배열의 예시적인 레이아웃을 도시한다.
도 6a는 샤워헤드 내의 공칭 (nominal) 가스 흐름 방향들을 표시하는 화살표들과 함께 베플을 포함하는 예시적인 샤워헤드의 일부의 측 단면 뷰를 도시한다.
도 6b는 저 볼륨 샤워헤드 내의 공칭 (nominal) 가스 흐름 방향들을 표시하는 화살표들과 함께 다공성 베플을 포함하는 예시적인 저 볼륨 샤워헤드의 일부의 측 단면 뷰를 도시한다.
도 7a는 샤워헤드 내의 예시적인 베플의 아이소메트릭 뷰를 도시한다.
도 7b는 샤워헤드 내의 복수의 관통-홀들을 포함하는 예시적인 베플의 아이소메트릭 뷰를 도시한다.
도 8은 대면플레이트의 방사상 크기의 함수로서 샤워헤드의 대면플레이트로부터의 가스의 축방향 흐름 속도를 예시하는 그래프를 도시한다.
도 9는 2 개의 샤워헤드들에 대한 원자 층 증착의 막 불균일도의 퍼센티지를 예시하는 그래프를 도시한다.
도 10은 다공성 베플을 갖는 저 볼륨 샤워헤드를 포함할 수 있는 다중-스테이션 프로세싱 툴의 개략적 뷰를 도시한다.
관련 출원들에 대한 교차 참조
본 출원은 2015년 3월 25일에 출원된 미국 특허 출원 번호 14/668,511 "LOW VOLUME SHOWERHEAD WITH POROUS BAFFLE"에 대한 우선권을 주장하며, 이 14/668,511 출원은 2014년 5월 5일에 출원된 미국 가 특허 출원 번호 61/988,834 "ULTRA LOW VOLUME SHOWERHEAD FOR ATOMIC LAYER DEPOSITION"에 대한 우선권을 주장하며, 이 양 문헌 각각 본 명세서에서 그 전체 내용이 모든 목적들을 위해서 참조로서 인용된다.
다음의 설명에서, 다수의 특정 세부사항들이 제안된 개념들의 철저한 이해를 제공하기 위해서 제시된다. 제안된 개념들은 이러한 특정 세부사항들 전부 또는 일부 없이도 실시될 수 있다. 다른 경우들에서, 잘 알려진 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않았다. 일부 개념들이 특정 실시예들을 참조하여서 기술될 것이지만, 이러한 실시예들은 한정적으로 해석되지 말아야 함이 이해될 것이다.
본 출원에서, 용어 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 서로 교환가능하게 사용된다. 본 기술 분야의 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 상에서의 집적 회로의 제조의 다수의 스테이지들 중 임의의 것 동안의 실리콘 웨이퍼를 말한다고 이해할 것이다. 반도체 디바이스 산업 분야에서 사용되는 웨이퍼 또는 기판은 전형적으로 200 mm, 또는 300 mm, 또는 450 mm 직경을 갖는다. 다음의 상세한 설명은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 발명은 이로 한정되지 않는다. 워크 피스는 다양한 형상들, 크기들 및 재료들을 가질 수 있다. 반도체 웨이퍼들 이외에, 본 발명의 이점을 이용할 수 있는 다른 워크 피스들은 다양한 물체들, 예를 들어서, 인쇄 회로 보드들, 자기 기록 매체, 자기 기록 센서, 미러, 광학적 요소들, 미세-기계적 디바이스 등을 포함한다.
몇몇 관례들이 본 개시에서의 논의 및 도면의 일부에서 채용되었다. 예를 들어서, "플레넘 볼륨들"과 같은 "볼륨들"이 다양한 곳들에서 참조된다. 이러한 볼륨들은 다양한 도면들에서 대체적으로 표시되지만, 도면들 및 이에 수반되는 수치적 식별자들은 이러한 볼륨들의 근사치를 표현하며 실제 볼륨들은 예를 들어서 이 볼륨들을 경계짓는 다양한 솔리드 (solid) 표면들까지 연장될 수 있다는 것이 이해되어야 한다. 다양한 보다 작은 볼륨들, 예를 들어서, 이와 달리 플레넘 볼륨의 솔리드 경계 표면을 관통하는 가스 유입부들 또는 다른 홀들은 플레넘 볼륨에 유체적으로 연통될 수 있다.
상대적 용어들, 예를 들어서, "의 위", "의 상단 상" " 의 아래", "의 바로 아래" 등의 사용은 샤워헤드의 정상적인 사용 동안에 이러한 컴포넌트들의 배향과 관련하여서 컴포넌트들의 공간적 관계들을 말한다고 이해되어야 한다. 달리 말하면, 샤워헤드는 기판 프로세싱 동작 동안에 기판을 향해서 가스들을 아래로 분배하도록 배향될 수 있다.
서론
반도체 프로세싱에서 사용되는 다양한 증착 기술들 중에서, 일 특정 증착 기법은 원자 층 증착 (ALD) 을 포함할 수 있다. 열 활성화된 가스 상 반응들이 막들을 증착하기 위해서 사용되는 CVD 프로세스와 대조하여서, ALD 프로세스들은 층별로 막들을 증착하기 위해서 표면-매개된 증착 반응들을 사용한다. 일 예시적인 ALD 프로세스에서, 표면 활성화 자리들의 분포를 포함하는 기판 표면이 제 1 막 프리커서 (P1) 의 가스 상 분포에 노출된다. P1의 일부 분자들은 P1의 화학 흡착된 (chemisorbed) 종들 및 물리 흡착된 분자들을 포함하는 기판 표면 상에 응결된 상 (condensed phase) 을 형성할 수도 있다. 이어서 반응기는 단지 화학 흡착된 종들만 남도록 가스 상 및 물리 흡착된 P1을 제거하도록 배기된다. 이어서 제 2 막 프리커서 (P2) 가 P2의 일부 분자들이 기판 표면에 흡착하도록 반응기에 도입된다. 반응기는 다시 배기될 수도 있고, 이 때 결합되지 않은 (unbound) P2가 제거된다. 후속하여, 기판에 제공된 에너지가 P1과 P2의 흡착된 분자들 사이의 표면 반응들을 활성화하여서 막 층을 형성한다. 마지막으로, 반응기는 반응 부산물 및 가능하게는 반응되지 않은 P1 및 P2를 제거하도록 배기되고, ALD 사이클을 종료한다. 막 두께를 구축하기 위해 부가적인 ALD 사이클들이 포함될 수도 있다.
프리커서 도징 단계들의 노출 시간 및 프리커서들의 점착 계수들에 따라서, 각 ALD 사이클은 일 실례에서 0.5 내지 3 Å 두께의 막 층을 증착할 수 있다. 각 ALD 사이클은 적어도 약 5 초 이하, 약 3 초 이하 또는 약 2 초 이하 지속될 수 있다.
컨포멀 막 증착 (CFD) 은 증착이 다수의 사이클에 걸쳐서 수행되며 각 사이클이 소량의 반응물 또는 프리커서를 사용한다는 점에서 ALD 기법과 유사한 증착 기법이다. 전형적으로, CFD 막을 생성하기 위한 표면 반응은 표면-흡착된 반응물(들)을 플라즈마, UV 방사선, 또는 유사한 소스들에 노출시킴으로써 활성화된다. 일부 경우들에서, 일 반응물은 증착 프로세스 동안에 연속적으로 흐르고, 이 증착 프로세스는 몇 개의 사이클들의 CFD를 포함할 수 있다. ALD 프로세스들과는 달리, 다수의 CFD 프로세스들이 2 개 이상의 반응물들이 챔버 내에서 기체 상으로 공존하게 할 수 있다. CFD에서, ALD 프로세스에서 기술된 하나 이상의 프로세스 단계들은 예시적인 CFD 프로세스에서는 단축되거나 제거될 수 있다. CFD를 사용하여서 막들을 형성하는 방법들은 2011년 4월 11일에 출원된 미국 특허 출원 번호 13/084,399에 기술되며, 이 문헌은 모든 목적들을 위해서 본 명세서에서 참조로서 인용된다. 맥락상, CFD의 짧은 설명이 제공된다.
대체적으로, CFD 사이클은 표면 증착 반응을 위해서 수행될 수 있는 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상에 적어도 부분적 박층의 생성이다. 전형적으로, CFD 사이클은 각 반응물을 기판 표면 상으로 전달 및 흡착시키고, 이어서 이렇게 흡착된 반응물들을 반응시켜서 막의 부분적 층을 형성하는데 필요한 오직 그러한 단계들만을 포함한다. 물론, 사이클은 특정 보조적 단계들, 예를 들어서 반응물들 또는 부산물들 중 하나 이상을 스위핑하는 것 및/또는 증착된 바와 같은 부분적 막들을 처리하는 것을 포함할 수 있다. 대체적으로, 사이클은 오직 고유한 동작 시퀀스들의 하나의 인스턴스를 포함한다. 예를 들어서, 사이클은 다음과 같은 동작들을 포함할 수 있다: (i) 반응물 A 전달 및 흡착, (ii) 반응물 B 전달 및 흡착, (iii) 퍼지 가스를 사용하여서 반응 챔버로부터 반응물 B 스위핑, 및 (iv) 표면 상에 부분적 막 층을 형성하도록 반응물 A와 B의 표면 반응을 일으키도록 플라즈마를 인가함. 일부 구현예들에서, 이러한 단계들은 도징 단계, 퍼지 단계 및 플라즈마 단계로서 특성화될 수 있다. 일부 구현예들에서, 플라즈마-후 퍼지 단계는 후속 퍼지를 위해서 사이클 내에 포함될 수 있다.
일부 구현예들은 상이한 프로세스 시퀀스들을 사용할 수 있다. 일 가능한 프로세스는 다음과 같은 동작 시퀀스를 포함한다: (1) 보조 반응물을 연속적으로 유동시킴, (2) 실리콘-함유 또는 다른 주 반응물의 도즈를 제공함, (3) 퍼지 1, (4) 기판을 RF 플라즈마에 노출, (5) 퍼지 2. 다른 대안적 프로세스는 다음과 같은 동작 시퀀스를 포함한다: (1) 불활성 가스를 연속적으로 유동시킴, (2) 실리콘-함유 또는 다른 주 반응물의 도즈를 제공함, (3) 퍼지 1, (4) 산화제 또는 다른 보조 반응물의 도즈를 제공하면서 기판을 RF 플라즈마에 노출시킴, (5) 퍼지 2.
대체적으로, "스위핑" 또는 "퍼지" 페이즈의 개념은 반응 챔버로부터 기체 상의 반응물 중 하나를 제거 또는 퍼지하고 전형적으로는 이러한 반응물의 전달이 완료된 후에만 발생한다. 달리 말하면, 반응물은 퍼지 페이즈 동안에 반응 챔버에 더 이상 전달되지 않는다. 그러나, 반응물은 퍼지 페이즈 동안에 기판 표면 상에 흡착된 상태로 유지된다. 전형적으로, 퍼지는 반응물이 목표된 레벨로 기판 표면 상으로 흡착된 후에 챔버 내의 임의의 잔류 기체 상 반응물을 제거하는 역할을 한다. 퍼지 페이즈는 또한 기판 표면으로부터 약하게 흡착된 종들 (예를 들어, 특정 프리커서 리간드들 또는 반응 부산물들) 을 제거할 수도 있다.
반도체 프로세싱 장비에서, 샤워헤드는 때로 목표된 방식으로, 예를 들어서, 균일하게 분배되는 방식으로 반도체 기판에 걸쳐서 프로세스 가스들을 분배하는데 사용된다. 샤워헤드들은 전형적으로 샤워헤드 외측으로 이어지는 복수의 가스 분배 홀들을 갖는 대면플레이트에 의해서 경계가 정해지는 플레넘을 포함한다. 대면플레이트는 전형적으로 반도체 프로세싱 챔버 또는 반응 챔버 내의 기판 반응 구역들과 대면하며, 기판들은 통상적으로 반도체 프로세싱 챔버 내의 대면플레이트 바로 아래에서, 예를 들어서, 대면플레이트 아래의 위치에서 웨이퍼를 지지하는 웨이퍼 지지부 또는 페데스탈 상에 위치된다.
박막들이 자가-제한형의 그리고 자가-포화형의 방식으로 ALD 에서 기판 표면 상에서 성장한다. 달리 말하면, 프리커서들은 전달되어서 자가-제한형 방식으로 표면과 반응하여서 표면 상의 모든 반응성 자리들이 일단 소모되면 반응은 종결된다. 이는 ALD 프로세스에서 특정 단계는 포화 상태에 도달함을 의미한다. 전형적으로, 막 균일도는 완전 포화에 도달하면 문제가 되지 않는다. 그러나, 수많은 ALD 프로세스들은 보다 경제적으로 동작하며 특정 처리량 임계치를 요구한다. 따라서, ALD에서의 단계들 모두가 목표된 처리량을 달성하도록 완전 포화에 이르지 않으며, 따라서 ALD 프로세스들에서의 완전 포화는 처리량을 억제하는 요소일 수 있다. 예시적으로, ALD 프로세스는 목표된 처리량을 달성하기 위해서 약 70 % 내지 약 99% 포화도에 도달할 수 있다. 본 명세서에서 사용된 바와 같이, ALD 프로세스들은 CFD 프로세스들을 포함할 수 있으며 서로 교환가능하게 사용될 수 있다.
따라서, 보다 높은 처리량은 막 균일도를 희생시켜가면서 달성될 수 있으며 보다 큰 막 균일도는 처리량을 희생시켜가면서 달성될 수 있다. 그러나, 본 개시의 샤워헤드는 막 균일도 및 처리량 양자를 개선하도록 설계될 수 있다. 일부 구현예들에서, 샤워헤드는 ALD 프로세스들에서 프로세스 또는 퍼지 가스들의 전달을 용이하게 하도록 설계될 수 있다. ALD 프로세스에서, 기체상으로 반응물들의 전달 시에 흐름 균일도를 개선하는 것은 특히 도징 단계 및 플라즈마 단계 동안에 증착된 막들의 균일도를 개선시킬 수 있다. 또한, 퍼지 시간을 개선하는 것은 퍼지 단계의 효율을 개선시킬 것이며 이로써 ALD 프로세스의 처리량을 증가시킬 수 있다.
처리량을 증가시키는 샤워헤드는 샤워헤드 볼륨을 감소시킴으로써 획득될 수 있다. 플레넘 볼륨 및 스템 볼륨은 퍼지 단계 동안에 프리커서들의 퍼지를 완료하기 위한 퍼지 시간을 줄이도록 작아지거나 최소화될 수 있다. 감소된 볼륨은 배압 (backpressure) 을 증가시키며 이로써 퍼지 가스는 반응 챔버 내로 신속하게 그리고 효율적으로 전달될 수 있다. 그러나, 샤워헤드의 볼륨을 감소시키는 것은 전형적으로 증착된 막의 막 균일도를 떨어뜨린다. 샤워헤드의 대면플레이트에 걸쳐서 공간적으로 균일한 흐름을 얻는 것은 저 볼륨 샤워헤드에서 용이하지 않을 수 있다. 대면플레이트에 걸친 공간적으로 불균일한 흐름은 증착되는 막에서 불균일한 두께를 낳을 수 있다. 상술한 바와 같이, 일부 ALD 프로세스들에서, ALD 프로세스에서의 증착 사이클은 짧을 수 있으며 완전 포화에 도달되게 하지 않을 수 있다. 따라서, 대면플레이트에 걸친 공간적으로 불균일한 흐름은 증착된 막의 막 균일도 및 막 특성에 부정적 영향을 줄 수 있다.
다공성 베플을 갖는 저 볼륨 샤워헤드
본 개시는 공간적으로 불균일한 흐름의 단점을 경험하지 않고서 감소된 볼륨을 갖는 샤워헤드를 제공한다. 이러한 저 볼륨 샤워헤드는 스템 볼륨과 플레넘 볼륨 간의 영역 내로 리세스된 (recessed) 다공성 베플을 포함한다. 저 볼륨 샤워헤드는 약 500 밀리리터 이하의 총 볼륨을 갖는 샤워헤드를 말한다. 일부 구현예들에서, 저 볼륨 샤워헤드는 약 50 밀리리터 내지 약 500 밀리리터의 볼륨을 가질 수 있다. 통상적인 샤워헤드는 특히 ALD 애플리케이션들에서는, 500 밀리리터보다 큰 볼륨을 가질 수 있다.
일반적으로, 2 개의 샤워헤드의 주요한 타입들이 있다: 샹들리에 타입 및 플러시 마운트 타입 (flush mount type). 샹들리에 타입 샤워헤드는 일 단부가 챔버의 상단에 부착되며 타단부가 대면플레이트 또는 백플레이트에 부착된 스템을 갖는다. 스템의 일부가 가스 라인들 및 RF 전력을 연결하기 위해서 챔버 상단으로부터 돌출될 수 있다. 플러시 마운트 샤워헤드 타입은 챔버의 상단부 내로 통합되며 전형적으로 스템을 가지지 않는다. 도시된 도면들이 대체적으로 샹들리에 타입 샤워헤드들을 참조하지만, 본 개시는 역시 플러시 마운트 타입 샤워헤드들에 적용될 수 있다는 것이 이해되어야 한다.
도 1은 베플 (110) 을 갖는 예시적인 샤워헤드 (100) 의 아이소메트릭 단면 뷰를 도시한다. 도 1의 샤워헤드 (100) 는 500 밀리리터보다 큰 볼륨을 가지며 비-다공성 베플 (110) 을 포함할 수 있다. 도 1에 도시된 바와 같이, 샤워헤드 (100) 는 백플레이트 (102) 및 대면플레이트 (104) 를 포함하며, 백플레이트 (102) 및 대면플레이트 (104) 는 개별 기계적 컴포넌트들이거나 단일 바디로 일체화될 수 있다. 백플레이트 (102) 및 대면플레이트 (104) 는 서로 대향하여 배치될 수 있다. 대면플레이트 (104) 는 기판으로의 가스의 전달을 실현하는 복수의 가스 분배 홀들 또는 관통-홀들 (132) 을 가질 수 있다. 플레넘 볼륨 (130) 은 백플레이트 (102) 와 대면플레이트 (104) 간에서 형성되며, 이 경우에 플레넘 볼륨 (130) 은 제 1 표면 및 제 1 표면 반대편의 제 2 표면을 갖는다. 일부 구현예들에서, 플레넘 볼륨 (130) 의 제 1 표면 및 제 2 표면은 원주형 표면들 (circumferential surfaces) 을 가질 수 있다. 제 1 표면 및 제 2 표면은 적어도 부분적으로 샤워헤드 (100) 의 플레넘 볼륨 (130) 을 규정한다. 대면플레이트 (104) 의 제 1 측면은 플레넘 볼륨 (130) 의 제 1 표면을 규정한다. 백플레이트 (102) 의 제 2 측면은 플레넘 볼륨 (130) 의 제 2 표면을 규정한다. 일부 구현예들에서, 도 1에서 예시된 바와 같이, 플레넘 볼륨 (130) 은 플레넘 볼륨 (130) 의 제 2 표면을 따라서 형상이 실질적으로 원뿔일 수 있다.
플레넘 볼륨 (130) 에는 가스, 예를 들어서, 반응물 가스 또는 퍼지 가스가, 하나 이상의 가스 유입부들 (120) 을 통해서 공급될 수 있다. 도 1에서 가스 유입부 (120) 는 가스의 전달을 위한 가스 공급부 또는 공급부들에 연결될 수 있다. 가스 유입부 (120) 는 스템 (122) 을 포함하며, 이 경우에 스템 (122) 은 좁은 튜브 (124) 에 연결된 확장된 튜브 (126) 를 포함할 수 있다. 확장된 튜브 (126) 는 좁은 튜브 (124) 의 직경보다 큰 직경을 가지며 이로써 가스가 플레넘 볼륨 (130) 에 도달할 때에 보다 공간적으로 분포된 흐름을 제공한다.
샤워헤드 (100) 는 플레넘 볼륨 (130) 내에 리세스된 베플 (110) 을 더 포함할 수 있다. 베플 (110) 은 플레넘 볼륨 (130) 내에 장착된 솔리드 또는 비다공성 구조체로서 가스를 외측방향으로 플레넘 볼륨 (130) 도처에 그리고 대면플레이트 (104) 의 에지를 향해서 인도한다. 베플 (110) 은 가스 유입부 (120) 로부터 특정 거리에 장착되어서 플레넘 볼륨 (130) 내에서의 가스의 분배를 가능하게 한다. 또한, 제 2 표면에서의 플레넘 볼륨 (130) 은 원뿔형상으로 되어서 가스 유입부 (120) 와 베플 (110) 간에 보다 많은 공간을 제공한다. 일부 구현예들에서, 베플 (110) 은 원형이며 확장된 튜브 (126) 의 직경보다 큰 직경을 가질 수 있다. 가스의 흐름을 외측으로 플레넘 볼륨 (130) 도처로 향하게 함으로써, 보다 큰 흐름 균일도가 획득될 수 있다. 또한, 베플 (110) 은 실질적으로 가스 유입부 (120) 에 그 중심이 있어서 대면플레이트 (104) 의 중앙을 통해서 분사되는 가스 흐름을 억제하거나 이와 달리 최소화할 수 있다.
도 2는 다공성 베플 (210) 을 갖는 예시적인 저 볼륨 샤워헤드 (200) 의 아이소메트릭 단면 뷰를 도시한다. 다공성 베플 (210) 은 또한 다공성 베플 플레이트로서 지칭될 수 있다. 도 2의 저 볼륨 샤워헤드 (200) 는 약 50 밀리리터 내지 약 500 밀리리터의 볼륨을 가질 수 있으며 다공성 베플 (210) 을 포함한다. 일부 구현예들에서, 저 볼륨 샤워헤드 (200) 는 약 100 밀리리터 내지 약 300 밀리리터의 볼륨을 가질 수 있다. 저 볼륨 샤워헤드 (200) 는 백플레이트 (202) 및 대면플레이트 (204) 를 포함하며, 이 경우에 백플레이트 (202) 및 대면플레이트 (204) 는 개별 기계적 컴포넌트들이거나 단일 바디로 일체화될 수 있다. 백플레이트 (202) 및 대면플레이트 (204) 는 서로 대향하게 배치될 수 있다. 일부 구현예들에서, 백플레이트 (202) 및 대면플레이트 (204) 각각은 그 형상이 원통형일 수 있다. 대면플레이트 (204) 는 기판으로의 가스 전달을 실현하기 위해서 복수의 관통-홀들 (232) 을 가질 수 있다. 일부 구현예들에서, 대면플레이트 (204) 의 크기 (예를 들어, 직경) 는 프로세스되는 기판의 크기와 대응 또는 실질적으로 대응할 수 있다. 관통-홀들 (232) 은 대면플레이트 (204) 를 통해서, 대면플레이트 (204) 의 제 1 측면으로부터 제 2 측면으로 연장될 수 있다. 플레넘 볼륨 (230) 은 백플레이트 (202) 와 대면플레이트 (204) 간에서 규정되며, 플레넘 볼륨 (230) 은 제 1 표면 및 이 제 1 표면 반대편의 제 2 표면을 갖는다. 일부 구현예들에서, 플레넘 볼륨 (230) 은 제 1 표면 및 제 2 표면은 원주형 표면을 가질 수 있다. 제 1 표면 및 제 2 표면은 적어도 부분적으로 저 볼륨 샤워헤드 (200) 의 플레넘 볼륨 (230) 을 규정한다. 대면플레이트 (204) 의 제 1 측면은 플레넘 볼륨 (230) 의 제 1 표면을 규정한다. 백플레이트 (202) 의 제 2 측면은 플레넘 볼륨 (230) 의 제 2 표면을 규정할 수 있다. 일부 구현예들에서, 도 2에서 예시된 바와 같이, 플레넘 볼륨 (230) 은 그 형상이 원통형 또는 실질적으로 원통형일 수 있다. 이는 샤워헤드의 전체 내부 볼륨을 줄일 수 있는데, 그 이유는 도 2의 플레넘 볼륨 (230) 은 도 1의 플레넘 볼륨 (130) 에 비해서 감소된 볼륨을 갖기 때문이다.
플레넘 볼륨 (230) 에는 가스, 예를 들어서, 반응물 가스 또는 퍼지 가스가, 하나 이상의 가스 유입부들 (220) 를 통해서 공급될 수 있다. 도 2의 가스 유입부 (220) 는 가스 전달을 위한 가스 공급부 또는 공급부들에 연결될 수 있다. 가스 유입부 (220) 는 스템 (222) 을 포함하며, 이 경우에 스템 (222) 은 좁은 튜브 (224) 를 포함한다. 스템 (222) 은 플레넘 볼륨 (230) 과 유체적으로 연통할 수 있다. 스템 (222) 의 볼륨은 일부 구현예들에서 약 1 밀리리터 내지 약 50 밀리리터일 수 있다. 전체 스템 (222) 으로서 좁은 튜브 (224) 를 제공하는 것도 역시 샤워헤드의 전체 내부 볼륨을 감소시키는데 그 이유는 도 2의 좁은 튜브 (224) 는 도 1에서의 확장된 튜브 (126) 보다 작은 직경을 가지기 때문이다.
저 볼륨 샤워헤드 (200) 는 플레넘 볼륨 (230) 과 가스 유입부 (220) 간의 영역 (235) 내에 다공성 베플 (210) 을 더 포함할 수 있다. 도 3은 도 2의 저 볼륨 샤워헤드 (200) 의 다공성 베플 (210) 의 확대된 아이소메트릭 단면 뷰를 도시한다. 일부 구현예들에서, 다공성 베플 (210) 은 영역 (235) 내로 리세스될 수 있으며, 이 경우에 다공성 베플 (210) 은 가스 유입부 (220) 로부터 특정 거리에 거리고 플레넘 볼륨 (230) 위에 장착될 수 있다. 다공성 베플 (210) 이 영역 (235) 내에 위치할 수 있지만, 다공성 베플 (210) 은 일부 다른 구현예들에서 플레넘 볼륨 (230) 내에 위치할 수도 있다는 것이 이해된다. 따라서, 다공성 베플 (210) 은 가스 유입부 (220) 로부터 일정 거리로 장착되며 이 가스 유입부 (220) 는 영역 (235) 을 통해서 연장된다. 영역 (235) 은 백플레이트 (202) 의 리세스된 볼륨일 수 있다. 이 영역은 가스 유입부 (220) 와 플레넘 볼륨 (230) 간의 가스의 흐름을 위한 천이 구역을 제공한다. 일부 구현예들에서, 영역 (235) 은 백플레이트 (202) 의 제 2 측면 내로 리세스될 수 있으며, 이 경우에 백플레이트 (202) 의 제 2 측면은 플레넘 볼륨 (230) 의 제 2 표면을 규정한다. 일부 구현예들에서, 스템 (222), 영역 (235), 및 플레넘 볼륨 (230) 각각은 원통형 볼륨을 규정할 수 있으며, 이 경우에 플레넘 볼륨 (230) 의 직경은, 영역 (235) 의 직경보다 크고, 영역 (235) 의 직경은 스템 (222) 의 직경보다 크다.
다공성 베플 (210) 이 플레넘 볼륨 (230) 과 가스 유입부 (220) 간의 영역 (235) 내에 위치하는 것을 특징으로 하는 것이 이해되기도 하지만, 본 기술 분야의 당업자는, 영역 (235) 이 가스 유입부 (220) 의 일부로서 간주될 수 있으며, 다공성 베플 (210) 이 가스 유입부 (220) 내에 위치할 수도 있다는 것을 이해할 것이다. 그러나, 다공성 베플 (210) 이 가스 유입부 (220) 내에 위치하면서 가스의 흐름을 차단하기보다는, 다공성 베플 (210) 은 자신을 통해서 가스가 흐르게 하는 다공성을 가질 수 있다.
베플 (210) 은 선택적으로 다공성일 수 있으며, 이 경우에 베플 (210) 의 기공율은 약 5% 내지 약 25% 일 수 있다. 일부 구현예들에서, 베플 (210) 은 다공성 재료를 포함하거나 이로 이루어질 수 있다. 다공성 재료의 실례는 다공성 알루미늄, 다공성 알루미나, 및 다공성 석영을 포함할 수 있다. 베플 (210) 은 임의의 적합한 재료로, 다음으로 한정되지 않지만 알루미늄, 알루미나, 석영 및 스테인레스 스틸을 포함하는 재료로 이루어질 수 있다. 이 재료는 원격 세정과 양립할 수 있으며 암모니아/불소 라디칼들과 용이하게 반응하지 않거나 페시베이션하는 재료일 수 있다. 일부 구현예들에서, 베플 (210) 은 베플 (210) 을 관통하는 복수의 관통-홀들 (212) 을 포함할 수 있다. 관통-홀들 (212) 은 기공율을 효과적으로 시뮬레이션 및 모방하도록 베플 (210) 의 재료를 통해서 제공될 수 있다. 일부 구현예들에서, 베플 (210) 은 원형이며 스템 (222) 의 직경보다 큰 직경을 가질 수 있다. 그러나, 일부 구현예들에서, 베플 (210) 은 대면플레이트 (204) 보다 실질적으로 작다. 예를 들어서, 대면플레이트 (204) 의 직경은 베플 플레이트 (210) 의 직경보다 적어도 4 배 더 크거나, 베플 플레이트 (210) 의 직경보다 적어도 10 배 더 크다. 또한, 베플 (210) 은 영역 (235) 의 직경보다 작은 직경을 가질 수 있다. 따라서, 가스 흐름은 관통-홀들 (212) 을 통과할 뿐만 아니라, 외측으로 플레넘 볼륨 (230) 도처로 대면플레이트 (204) 의 에지들을 향할 수 있다. 가스 흐름이 관통-홀들 (212) 을 통과하고 외측으로 플레넘 볼륨 (230) 도처로 가게 함으로써, 가스의 보다 공간적으로 균일한 흐름이, 샤워헤드 (200) 의 전체 내부 볼륨이 도 1의 샤워헤드 (100) 보다 작음에도 불구하고, 획득될 수 있다. 또한, 베플 (210) 은, 베플 (210) 의 위치 및 베플 (210) 의 기공율이 대면플레이트 (204) 의 중심을 통해서 분사되는 가스의 효과를 최소화시킬 수 있도록, 실질적으로 가스 유입부 (220) 상에 그 중앙이 있을 수 있다. 일부 구현예들에서, 베플 (210) 은 플레넘 볼륨 (230) 의 제 1 표면 및 제 2 표면과 실질적으로 평행하다.
도 4는 2 개의 예시적인 샤워헤드들 (400a), (400b) 의 측 단면 뷰들의 나란한 비교 (side-by-side comparison) 를 도시한다. 통상적인 샤워헤드 (400a) 가 좌측에 도시되고, 본 개시의 저 볼륨 샤워헤드 (400b) 가 우측에 도시된다. 통상적인 샤워헤드 (400a) 는 도 1의 샤워헤드 (100) 에 대응하고, 저 볼륨 샤워헤드 (400b) 는 도 2의 저 볼륨 샤워헤드 (200) 에 대응한다.
각 샤워헤드 (400a), (400b) 는 백플레이트 (402) 및 이 백플레이트 (402) 반대편의 대면플레이트 (404) 를 포함한다. 통상적인 샤워헤드 (400a) 의 백플레이트 (402a) 및 대면플레이트 (404a) 는 적어도 부분적으로 플레넘 볼륨 (430a) 을 규정하며, 이 경우에 플레넘 볼륨 (430a) 은 원통형 부분 및 원통형 부분 위의 원뿔형 부분을 포함한다. 저 볼륨 샤워헤드 (400b) 의 백플레이트 (402b) 및 대면플레이트 (404b) 는 적어도 부분적으로 플레넘 볼륨 (430b) 을 규정하며, 이 경우에 플레넘 볼륨 (430b) 은 원통형 부분을 포함한다. 각 샤워헤드 (400a), (400b) 는 또한 스템 (422a), (422b) 을 포함하며 이를 통해서 가스가 플레넘 볼륨 (430a), (430b) 내로 전달된다. 통상적인 샤워헤드 (400a) 내의 스템 (422a) 은 좁은 튜브 (424a) 및 확장된 튜브 (426a) 를 포함하고, 저 볼륨 샤워헤드 (400b) 내의 스템 (422b) 은 좁은 튜브 (424b) 을 포함한다. 이로써, 통상적인 샤워헤드 (400a) 는 저 볼륨 샤워헤드 (400b) 보다 상당히 큰 볼륨을 가질 수 있는데, 그 이유는 그 스템 직경 및 그 플레넘 높이가 더 크기 때문이다. 통상적인 샤워헤드 (400a) 에서의 큰 볼륨은 플레넘 볼륨 (430a) 내에서의 가스의 흐름에 있어서 재순환 존들 (recirculation zones) 을 유발하며, 이는 흐름 균일도 드리프팅 (drifting) 을 낳을 수 있다. 통상적인 샤워헤드 (400a) 에서의 큰 볼륨은 또한 보다 긴 퍼지 시간 및 증가된 천이 시간으로 이어져서, 감소된 처리량을 낳을 수 있다.
추가적으로, 샤워헤드들 (400a, 400b) 은 베플들 (410a, 410b) 을 포함하며, 이 경우에 통상적인 샤워헤드 (400a) 는 큰, 비-다공성 베플 (410a) 을 포함하고, 저 볼륨 샤워헤드 (400b) 는 작은, 다공성 베플 (410b) 을 포함한다. 일부 구현예들에서, 작은, 다공성 베플 (410b) 은 플레넘 볼륨 (430b) 과 스템 (422b) 간의 영역 (435b) 내로 리세스된다. 일부 구현예들에서, 영역 (435b) 은 스템 (422b) 의 확장부를 구성하며, 이 경우에 영역 (435b) 은 좁은 튜브 (424b) 보다 큰 직경을 갖는다. 작은, 다공성 베플 (410b) 은 이러한 구현예들에서 스템 (422b) 내측에 있는 것으로 간주될 수 있다. 일부 구현예들에서, 영역 (435b) 은 확산기 (diffuser) 역할을 하며, 이 경우에 이 확산기는 원뿔형 또는 원통형 형상일 수 있다. 작은, 다공성 베플 (410b) 은 큰, 비-다공성 베플 (410a) 에 비해서 대면플레이트 (404) 의 중앙을 통한 플럭스를 증가시킨다. 일부 구현예들에서, 작은, 다공성 베플 (410b) 내의 홀들의 개수 및 홀들의 배열은 대면플레이트 (404b) 를 통한 가스의 보다 공간적으로 균일한 흐름을 제공할 수 있다. 일부 구현예들에서, 대면플레이트 (404b) 내에서의 홀들의 개수 및 배열도 역시 대면플레이트 (404b) 를 통한 가스의 흐름의 공간적 균일도에 영향을 줄 수 있다. 예를 들어서, 대면플레이트 (404b) 내에서의 감소된 홀 개수는 대면플레이트 (404b) 에 걸친 압력 강하를 증가시키며 이로써 외측으로 대면플레이트 (404b) 의 에지들을 향해서 가스의 흐름을 보다 많이 밀게 된다.
표 1은 통상적인 샤워헤드 (400a) 및 저 볼륨 샤워헤드 (400b) 의 특징부들 및 값들의 비교를 도시한다.
특징부 통상적인 샤워헤드 (400a) 저 볼륨 샤워헤드 (400b)
전체 내부 볼륨 742.7 밀리리터 256.4 밀리리터
높이(스템에서 대면플레이트까지) 10.55 인치 10.55 인치
대면플레이트 직경 13 인치 13 인치
플레넘 형상 원뿔형 (역 경사:sloped back) 원통형 (역 평행: parallel back)
플레넘 높이
(에지에서)
0.25 인치 0.125 인치
홀 패턴 육각형 삼각형
홀 개수 3292 2257
홀 직경 0.04 인치 0.04 인치
스템 내의 확장 존 예 (1.21 인치 직경) 아니오
베플 솔리드 베플 다공성 베플
베플 직경 2.13 인치 0.79 인치
베플 관통-홀 직경 N/A 0.08 인치 (6 관통-홀들)
베플이 스템과 플레넘 간의 영역 내로 리세스되었는가? 아니오
베플 두께 0.064 인치 0.04 인치
본 개시의 저 볼륨 샤워헤드 (400b) 는 그 전체 내부 볼륨이 약 700 밀리리터 미만, 또는 약 50 밀리리터 내지 약 500 밀리리터, 또는 약 100 밀리리터 내지 약 300 밀리리터이다. 표 1에서, 본 개시의 저 볼륨 샤워헤드 (400b) 는 통상적인 샤워헤드 (400a) 의 전체 내부 볼륨을 742.7 밀리리터로부터 256.4 밀리리터로 감소시켰으며, 이는 볼륨이 65% 감소한 것을 나타낸다. 통상적인 샤워헤드 (400a) 의 플레넘 높이는 0.25 인치로부터, 저 볼륨 샤워헤드 (400b) 의 0.125 인치로 감소하였다. 통상적인 샤워헤드 (400a) 에서의 플레넘 형상은 실질적으로 원뿔형, 또는 적어도 실질적으로 원뿔형 부분과 실질적으로 원통형 부분의 조합을 포함한다. 실질적으로 원뿔형 부분의 원뿔 발산 각 (cone divergence) 은 약 90 도보다 크거나, 또는 약 120 도보다 크다. 저 볼륨 샤워헤드 (400b) 의 플레넘 형상은 원통형 또는 실질적으로 원통형이다. 통상적인 샤워헤드 (400a) 의 스템 직경은 직경 1.21 인치에서 저 볼륨 샤워헤드 (400b) 의 직경 약 0.125 인치 이상으로 감소되었다. 일부 구현예들에서, 이는 반도체 애플리케이션들에서, 예를 들어서, ALD 애플리케이션들 동안에 퍼지 시간을 줄이고 처리량을 개선할 수 있다. 일부 구현예들에서, 저 볼륨 샤워헤드 (400b) 의 스템 직경은 보다 작은 직경에서 보다 큰 직경으로 천이 영역 (435b) 에서 천이할 수 있으며, 이 경우에 보다 큰 직경은 약 1.21 인치 이하이다.
일부 구현예들에서, 대면플레이트 (404a, 404b) 내의 관통-홀들의 개수는 대면플레이트 (404a, 404b) 에 걸친 흐름의 균일도에 영향을 줄 수 있다. 샤워헤드의 내부 볼륨이 감소되면, 대면플레이트에 걸친 흐름의 보다 균일한 분배를 제공하는 것은 플레넘 볼륨과 프로세싱 챔버 간의 압력 강하의 증가를 요구할 수 있다. 대체적으로, 가스는 최소 저항의 경로를 따라서 흐르며, 따라서, 저 볼륨 샤워헤드 (400b) 내의 대면플레이트 (404b) 가 낮은 압력 강하를 갖는다면, 가스의 흐름은 대면플레이트 (404b) 의 중앙을 통해서 분사될 것이다. 그러나, 보다 높은 압력 강하는 가스의 흐름을, 외측으로 대면플레이트 (404b) 의 에지들로 보다 많이 밀 것이다. 보다 높은 압력 강하를 실현하기 위해서, 대면플레이트 (404b) 내의 관통-홀들의 개수는, 통상적인 샤워헤드 (400a) 로부터 저 볼륨 샤워헤드 (400a) 로의 감소된 내부 볼륨에 수반되도록, 감소될 수 있다. 이와 달리, 대면플레이트 (404b) 내에서 관통-홀들의 개수가 과잉되면, 압력 강하가 너무 낮아서 플럭스는 대면플레이트 (404b) 에 걸쳐서 그 중앙으로부터 에지까지 균일하지 않게 될 것이다. 일부 구현예들에서, 저 볼륨 샤워헤드 (400b) 내의 대면플레이트 (404b) 내의 관통-홀들의 개수는 약 1000 개 관통-홀들 내지 약 3000 개 관통-홀들, 또는 약 1500 개 관통-홀들 내지 약 2500 개 관통-홀들일 수 있다. 예를 들어서, 표 1에서, 통상적인 샤워헤드 (400a) 는 3292 개 관통-홀들로부터 저 볼륨 샤워헤드 (400b) 의 2257 개 관통-홀들로 감소되었다.
저 볼륨 샤워헤드 (400b) 를 통한 가스의 소정의 플로우 레이트에 있어서, 대면플레이트 (404b) 내의 관통-홀들의 개수는 특정 압력 강하를 달성할 수 있으며, 이로써 대면플레이트 (404b) 에 걸친 흐름의 특정 분산을 제공할 수 있다. 가스의 플로우 레이트가 낮으면, 보다 적은 수의 관통-홀들이, 대면플레이트 (404b) 에 걸친 흐름의 목표된 균일도를 달성하기 위해서 필요할 것이다.
일부 구현예들에서, 대면플레이트 (404a), (404b) 내에서의 관통-홀들의 배열도 역시 대면플레이트 (404a), (404b) 에 걸친 흐름의 균일도에 영향을 줄 것이다. 일부 구현예들에서, 관통-홀들의 기하학적 배열은 육각형일 수 있다. 예를 들어서, 통상적인 샤워헤드 (400a) 는 관통-홀들의 육각형 배열을 갖는 대면플레이트 (404a) 를 갖는다. 일부 구현예들에서, 관통-홀들의 기하학적 배열은 삼각형일 수 있다. 예를 들어서, 저 볼륨 샤워헤드 (400b) 는 관통-홀들의 삼각형 배열을 갖는 대면플레이트 (404b) 를 가질 수 있다.
통상적인 샤워헤드 (400a) 는 대면플레이트 (404a) 의 중앙으로부터의 분사되는 효과들을 억제 또는 이와 달리 최소화하기 위해서 스템 (422a) 바로 아래에 그 중앙이 있는 큰, 비-다공성 베플 (410a) 을 갖는다. 이 큰, 비-다공성 베플 (410a) 인 직경 2.13 인치를 갖는다. 비-다공성 베플 (410a) 의 직경은 통상적인 샤워헤드 (400a) 내의 확장된 튜브 (426a) 의 직경보다 클 수 있다. 그러나, 플레넘 볼륨 (430a) 의 볼륨은, 충분한 흐름 균일도를 위해서 스템 (422a) 바로 아래에서 큰, 비-다공성 베플 (410a) 을 수용하도록 증가될 수 있다. 증가된 볼륨은, 가스의 흐름이 외측으로 분배될 수 있도록, 플레넘 볼륨 (430a) 의 원뿔형 부분에 의해서 제공될 수 있다. 백플레이트 (402a) 는 플레넘 볼륨 (430a) 의 원뿔형 부분에 대해서 역 경사질 수 있다 (sloped back).
이와 대조하여서, 본 개시의 저 볼륨 샤워헤드 (400b) 는 대면플레이트 (404b) 의 중앙으로부터의 분사되는 효과들을 억제 또는 이와 달리 최소화하기 위해서, 스템 (422b) 바로 아래에 중앙을 둔 작은, 다공성 베플 (410b) 을 포함한다. 일부 구현예들에서, 작은, 다공성 베플 (410b) 은 큰, 비-다공성 베플 (410a) 보다 실질적으로 작다. 작은, 다공성 베플 (410b) 은 약 0.1 인치 내지 약 2.0 인치의 직경을 갖는다. 예를 들어서, 작은, 다공성 베플 (410b) 은 직경 0.79 인치를 갖는다. 대면플레이트 (404b) 의 직경은 작은, 다공성 베플 (410b) 의 직경보다 실질적으로 크다. 예를 들어서, 대면플레이트 (404b) 의 직경은 13 인치일 수 있다. 일부 구현예들에서, 대면플레이트 (404b) 의 직경은 작은, 다공성 베플 (410b) 의 직경보다 적어도 4 배 더 클 수 있거나, 작은, 다공성 베플 (410b) 의 직경보다 적어도 10 배 더 클 수 있다.
전형적으로, 통상적인 샤워헤드 (400a) 로부터 저 볼륨 샤워헤드 (400b) 로의 내부 볼륨 감소는 "볼륨 관련 불이익 (volume penalty)" 을 낳는데, 이 경우에 감소된 내부 볼륨은 대면플레이트 (404b) 에 걸친 흐름 균일도를 감소시킴으로써 흐름 균일도에 부정적인 영향을 준다. 저 볼륨 샤워헤드 (400b) 에서 이러한 볼륨 관련 불이익을 피하기 위해서, 본 개시는 작은, 다공성 베플 (410b) 을 제공하며, 이 경우에 작은, 다공성 베플 (410b) 은 플레넘 볼륨 (430b) 과 스템 (422b) 간의 영역 (435b) 내에 위치한다. 작은, 다공성 베플 (410b) 은 가스 흐름을 차단하지 않게 플레넘 볼륨 (430b) 위에 위치할 수 있다. 대신에, 작은, 다공성 베플 (410b) 은 개선된 흐름 균일도를 위해서 영역 (435b) 내에 위치될 수 있으며, 이 경우에 작은, 다공성 베플 (410b) 의 직경 및 작은, 다공성 베플 (410b) 의 관통-홀들의 크기, 개수 및 배열은 볼륨 플레넘 (430b) 내로 가스의 흐름을 인도하며 이로써 대면플레이트 (404b) 에 걸친 흐름 균일도에 영향을 줄 수 있다. 또한, 대면플레이트 (404b) 내의 관통-홀들의 크기, 개수 및 배열도, 목표된 흐름 균일도를 달성하고 대면플레이트 (404b) 에 걸친 보다 높은 압력 강하를 달성하도록 구성될 수 있다. 예를 들어서, 작은, 다공성 베플 (410b) 내의 관통-홀들의 직경은 약 0.01 인치 내지 약 0.15 인치, 예를 들어서, 약 0.08 인치일 수 있다. 작은, 다공성 베플 (410b) 은 도 5 및 도 7b에서 예시된 바와 같이, 육각형 형상을 갖는 링으로 배열된 6 개의 홀들을 포함할 수 있다. 6 개의 홀들은 작은, 다공성 베플 (410b) 의 중앙보다는 작은, 다공성 베플 (410b) 의 에지에 대해서 더 가깝게 위치할 수 있다. 대면플레이트 (404b) 내의 관통-홀들의 직경은 약 0.01 인치 내지 약 0.10 인치, 예를 들어서, 약 0.04 인치일 수 있다. 대면플레이트 (404b) 는 도 5에서 예시된 바와 같이, 복수의 삼각형의 패턴들로 배열된 2000 개 이상의 홀들을 포함할 수 있다.
도 5는 저 볼륨 샤워헤드 내에서의 다공성 베플의 관통-홀들 (512), 및 대면플레이트의 관통-홀들 (532, 552) 의 배열의 예시적인 레이아웃을 도시한다. 통상적인 샤워헤드 내의 대면플레이트 내의 관통-홀들 (532) 은 육각형 배열 (550) 을 형성하며, 관통-홀들 (552) 이 저 볼륨 샤워헤드 내의 관통-홀들 (532) 내에 더해져서 삼각형 배열 (560) 을 형성한다. 다공성 베플 내의 관통-홀들 (512) 은 대면플레이트의 관통-홀들 (532) 위에 위치할 수 있다. 다공성 베플 내의 관통-홀들 (512) 의 배열 및 대면플레이트 내의 관통-홀들 (532, 552) 의 배열은 대면플레이트에 걸친 흐름의 균일도에 영향을 줄 수 있다.
도 6a는 샤워헤드 내의 공칭 가스 흐름 방향들을 표시하는 화살표들 (640a) 와 함께, 베플 (610a) 을 포함하는 예시적인 샤워헤드의 일부의 측 단면 뷰를 도시한다. 도 6b는 저 볼륨 샤워헤드 내의 공칭 가스 흐름 방향들을 표시하는 화살표들 (640b) 과 함께, 다공성 베플 (610b) 을 포함하는 예시적인 저 볼륨 샤워헤드의 일부의 측 단면 뷰를 도시한다. 가스 유입부 (620a) 로부터의 가스의 흐름에 대한 흐름 벡터들 (640a) 이 도 6a에서 화살표들로 표시될 수 있으며, 가스 유입부 (620b) 로부터의 가스의 흐름에 대한 흐름 벡터들 (640b) 이 도 6b에서 화살표들로 표시될 수 있다. 베플들 (610a, 610b) 의 위치, 크기 및 기공율은 대면플레이트들 (604a, 604b) 의 관통-홀들 (632a, 632b) 을 통한 흐름 벡터들 (640a, 640b) 에 영향을 줄 수 있다. 베플 (610b) 내의 관통-홀들 (612b) 의 크기, 배열 및 개수는 대면플레이트 (604b) 내의 관통-홀들 (632b) 을 통한 흐름 벡터들 (640b) 에 영향을 줄 수 있다. 도 6a에서, 베플 (610a) 은 흐름 벡터들 (640a) 을 외측으로 대면플레이트 (604a) 의 에지를 향해서 인도한다. 그러나, 도 6b에서, 다공성 베플 (610b) 은 흐름 벡터들 (640b) 을 외측으로 대면플레이트 (604b) 의 에지들 및 중앙을 향해서 인도하며, 이로써 대면플레이트 (604b) 의 중앙을 향한 증가된 플럭스를 낳는다. ALD 애플리케이션들에서, 이는 기판의 중앙에서의 도즈량의 높은 농도로 이어질 수 있다.
도 7a는 통상적인 샤워헤드 (700a) 내의 예시적인 베플 (710a) 의 아이소메트릭 뷰를 도시한다. 통상적인 샤워헤드 (700a) 는 백플레이트 (702a) 및 백플레이트 (702a) 를 통해서 통상적인 샤워헤드 (700a) 의 플레넘 볼륨에 유체적으로 연통하는 가스 유입부 (720a) 를 포함한다. 베플 (710a) 은 플레넘 볼륨 내로 리세스되며, 이 경우에 베플 (710a) 은 백플레이트 (702a) 의 측면으로부터 하나 이상의 내부 지지 포스트들 (714a) 을 통해서 장착될 수 있다.
도 7b는 저 볼륨 샤워헤드 (700b) 내의 복수의 관통-홀들 (712b) 을 포함하는 예시적인 베플 (710b) 의 아이소메트릭 뷰를 도시한다. 저 볼륨 샤워헤드 (700b) 는 백플레이트 (702b) 및 백플레이트 (702b) 를 통해서 저 볼륨 샤워헤드 (700b) 의 플레넘 볼륨으로 유체적으로 연통한 가스 유입부 (720b) 를 포함한다. 백플레이트 (702b) 와 가스 유입부 (720b) 간의 계면에서, 포켓 또는 천이 영역 (735b) 이 플레넘 볼륨과 가스 유입부 (720b) 간에 제공된다. 일부 구현예들에서, 베플 (710b) 은 천이 영역 (735b) 내로 리세스되거나 천이 영역 (735b) 으로부터 연장될 수 있으며, 이 경우에 베플 (710b) 은 천이 영역 (735b) 으로부터 하나 이상의 내부 지지 포스트들 (714b) 을 통해서 장착될 수 있다. 베플 (710b) 은 복수의 관통-홀들 (712b) 을 포함한다. 일부 구현예들에서, 복수의 관통-홀들 (712b) 은 베플 (710b) 의 중앙보다 베플 (710b) 의 에지들을 향해서 선택적으로 배열될 수 있다. 일부 구현예들에서, 베플 (710b) 의 기공율은 약 5% 내지 약 25%, 예를 들어서, 약 10% 일 수 있다. 일부 구현예들에서, 베플 (710b) 은 다공성 재료로 이루어지며 또는 베플 (710b) 은 자신을 통과하는 관통-홀들 (712b) 을 갖는 솔리드 재료로 이루어질 수 있다. 일부 구현예들에서, 베플 (710b) 의 관통-홀들 (712b) 은 육각형 패턴으로 배열될 수 있다.
도 8은 대면플레이트의 방사상 크기의 함수로서 샤워헤드의 대면플레이트로부터의 가스의 축방향 흐름 속도를 예시하는 그래프를 도시한다. 샤워헤드의 대면플레이트로부터 1 mm에서 측정된 축방향 흐름 속도는 샤워헤드로부터 가스의 흐름의 균일도를 반영할 수 있으며, 이 경우에 축방향 흐름 속도는 대면플레이트의 중앙으로부터 에지로 그래픽적으로 도시된다. 산소의 5 slm (standard liters per minute) 및 압력 6 Torr에서, 베플이 없는 샤워헤드는 대면플레이트의 중앙 근처에서 매우 신속한 축방향 흐름 속도를 보이고, 대면플레이트의 중앙 근처의 수 밀리미터 내에서 매우 느린 축방향 흐름 속도를 보였다. 베플이 없다면, 대면플레이트의 중앙으로부터 에지로의 흐름 균일도는 매우 불량하다. 산소의 5 slm (standard liters per minute) 및 압력 6 Torr에서, 비-다공성 베플을 갖는 샤워헤드는 대면플레이트의 중앙 근처에서 매우 느린 축방향 흐름 속도를 보이고, 대면플레이트의 에지를 향해서 더 가까이 가면 증가된 축방향 흐름 속도를 보였다. 비-다공성 베플을 사용하면, 대면플레이트의 중앙으로부터 에지로의 흐름 균일도는 불량하다. 샤워헤드의 표면으로부터 2.5 mm에 있으면서 각각의 직경이 0.08 인치인 6 개의 관통-홀들을 포함하는 다공성 베플을 사용하면, 대면플레이트의 중앙으로부터의 에지까지의 축방향 흐름 속도는 상대적으로 균일하다. 다공성 베플은 직경이 2 cm 이고 두께가 1 mm 이고, 6 개의 관통-홀들은 1 cm 떨어져서 중심을 가질 수 있다.
도 9는 2 개의 샤워헤드들에 대한 원자 층 증착의 막 불균일도의 퍼센티지를 예시하는 그래프를 도시한다. 막 불균일도는 증착된 막의 가장 두꺼운 부분과 가장 얇은 부분 간의 차를 취하고 이 값을, 증착된 막의 두께의 평균의 2 배로 제산함으로써 계산될 수 있다: % 불균일도 = (최대 - 최소) / (2*평균). 도 9에서, 통상적인 샤워헤드는 약 0.5% 의 불균일도를 생성한 반면에, 본 개시의 저 볼륨 샤워헤드는 약 0.2% 의 불균일도를 산출하였다. 이로써, 본 개시의 저 볼륨 샤워헤드를 설계함으로써, 막 균일도는 ALD 프로세싱에서 크게 개선될 수 있다.
본 개시의 저 볼륨 샤워헤드는 다양한 프로세스 단계들 또는 프로세스 수단들을 조절함으로써 보상할 필요 없이 막 균일도를 획득할 수 있는 하드웨어 구성을 제공할 수 있다. 달리 말하면, 막 균일도를 개선하기 위한 목표를 갖는 저 볼륨 샤워헤드를 제공함으로써, 막 균일도가 프로세스 파라미터들과는 분리될 수 있다. 이로써, 막 특성들, 예를 들어서, 습식 에칭 레이트, 및 건식 에칭 레이트가 막 균일도로부터 분리될 수 있다. 추가 막 특성들은 유전 상수, 굴절율, 습식 에칭 레이트, 건식 에칭 레이트, 광학적 특성, 기공율, 밀도, 조성, 경도 및 모듈러스, 레지스트 탈피 및 애싱 레이트, CMP 제거 레이트 등을 포함한다.
전형적으로, 막 균일도의 바람직한 레벨을 획득하는 것은 다양한 프로세스 파라미터들을 조절함으로써 달성될 수 있다. 일부 구현예들에서, 프로세스 파라미터들, 예를 들어서, 플로우 레이트들, 도징 시간, 퍼지 시간, 무선-주파수 (RF) 전력, RF 온 (on) 시간, 및 다른 프로세스 파라미터들이 바람직한 막 균일도를 달성하게 튜닝될 수 있다. 예시적으로, 막 균일도는 보다 큰 포화도를 제공하도록 각 ALD 사이클 동안에 프로세싱 시간들을 증가시킴으로써 개선될 수 있다. 그러나, 처리량은 감소할 수 있다. 다른 실례에서, 막 균일도는 보다 많은 프리커서를 유동시킴으로써 (예를 들어, 도즈량 증가) 개선될 수 있다. 그러나, 프리커서 도즈량을 증가시키면 화학적 비용이 증가하며, 막에서의 화학량론에 부정적 영향을 주며, 습식 에칭 레이트 및 건식 에칭 레이트와 같은 막 특성이 바람직하지 않게 변하게 된다. 따라서, 막 균일도의 바람직한 레벨을 달성하기 위한 이러한 통상적인 방식들은 처리량 및 막 특성에 바람직하지 않은 영향을 줄 수 있다.
표 2는 막 균일도 (중앙 두께) 및 막 특성들 (습식 에칭 레이트 및 건식 에칭 레이트) 의 측면에서 본 개시의 저 볼륨 샤워헤드와, 도즈량 증가, RF 전력, 및 RF 온 시간의 프로세스 파라미터들 조절 방식 간의 비교를 예시한다.
중앙 두께 습식 에칭 레이트 건식 에칭 레이트
저 볼륨 샤워헤드 증가 무영향 무영향
도즈량 증가 증가 증가 증가
RF 전력 감소 무영향 감소
RF 온 시간 감소 감소 무영향
표 2에 도시된 바와 같이, 본 개시의 저 볼륨 샤워헤드는 증착된 막의 습식 에칭 레이트 및 건식 에칭 레이트에 영향을 주지 않으면서 증착된 막의 중앙 두께를 증가시킨다. 그러나, 프로세스 파라미터들, 예를 들어서, 도즈량 레벨, RF 전력, 및 RF 온 시간을 조절하는 것은 막 특성으로부터 막 균일도를 분리시키지 못하고 있다. 도즈량을 증가시키면 증착된 막의 습식 에칭 레이트 및 건식 에칭 레이트가 증가한다. RF 전력을 감소시키면 증착된 막의 건식 에칭 레이트가 감소되고, RF 온 시간을 감소시키면 증착된 막의 습식 에칭 레이트가 감소된다. 따라서, 저 볼륨 샤워헤드를 제공하는 것은, 막 균일도의 바람직한 레벨을 달성하기 위해서, 흐름 레이트들, 도징 시간, 퍼지 시간, 등과 같은 프로세스 파라미터들을 세밀-튜닝할 필요없이 막 균일도의 바람직한 레벨을 획득하면서, 반도체 프로세싱에 대한 보다 넓은 프로세스 창을 제공한다. 일부 구현예들에서, 저 볼륨 샤워헤드는 약 1.0% 미만의, 예를 들어서, 약 0.5% 미만의 또는 약 0.3% 미만의 막 불균일도를 달성할 수 있다. 일부 구현예들에서, 약 1.0% 미만의 막 불균일도는 1.5 초 미만의 ALD 사이클에서 달성될 수 있다. 예를 들어서, 도징 시간은 0.4 초 이하이고, 퍼지 시간은 0.4 초 이하이고, 및 플라즈마 단계는 0.4 초 이하이고, 플라즈마-후 퍼지 단계는 0.15 초 이하일 수 있다. 이와 대조하여서, 통상적인 샤워헤드에서의 ALD 사이클은 사이클당 약 1.5 보다 길 수 있으며, 도징 시간은 0.6 초 이상, 퍼지 시간은 0.4 초 이상, 플라즈마 단계는 0.4 초 이상, 및 플라즈마-후 퍼지 단계는 0.15 초 이상일 수 있다. 저 볼륨 샤워헤드는, 막 균일도의 바람직한 레벨을 획득하면서 ALD 사이클에 대한 총 시간을 감소시킴으로써 처리량을 증가시킬 수 있다. 또한, 저 볼륨 샤워헤드는 다른 막 특성들, 예를 들어서, 습식 에칭 레이트 및 건식 에칭 레이트에 영향을 주지 않으면서 바람직한 레벨의 막 균일도를 획득할 수 있다.
본 개시의 저 볼륨 샤워헤드는 반도체 프로세스 챔버 내에 설치될 수 있다. 프로세스 챔버는 챔버 하우징의 상단에 장착되는 저 볼륨 샤워헤드를 포함한다. 기판 지지부는 반도체 기판을, 프로세스 챔버 내에서 그리고 저 볼륨 샤워헤드 아래에 지지한다. 미세볼륨이 기판 지지부와 저 볼륨 샤워헤드 간에서 형성될 수 있다. 미세볼륨은 기판 반응 구역 역할을 할 수 있으며, 프로세싱 동안에 반도체 기판 근방으로 프로세스 가스들을 집중 및 유지할 수 있다. 기판 지지부는 로딩 및 언로딩 동작들을 실현하기 위해서 상향 및 하향 이동하도록 구성될 수 있다. 일부 구현예들에서, 저 볼륨 샤워헤드는 스템에 의해서 프로세스 챔버의 리드로부터 매달릴 수 있으며 그 자체가 프로세스 챔버의 "리드"의 일부를 형성하지 않을 수 있다. 이러한 구현예들에서, 저 볼륨 샤워헤드가 기판 로딩 및 언로딩 동작들을 실현하기 위해서 상향 및 하향 이동하도록 구성될 수 있다.
일부 구현예들에서, 하나 이상의 프로세스 챔버들은 다중-스테이션 반도체 프로세싱 툴 내의 프로세스 스테이션들로서 제공될 수 있다. 일부 구현예들에서, 단일 프로세스 챔버는 다수의 프로세싱 스테이션들을 포함할 수 있으며, 이들 중 일부 또는 전부는 그들 자신의 저 볼륨 샤워헤드 어셈블리를 포함할 수 있다.
도 10은 다공성 베플을 갖는 저 볼륨 샤워헤드를 포함할 수 있는 다중-스테이션 프로세싱 툴의 개략적 뷰를 도시한다. 다중-스테이션 프로세싱 툴 (1000) 은 인바운드 로드 락 (inbound load lock) (1002) 및 아웃바운드 로드 락 (outbound load lock) (1004) 을 포함한다. 대기압에 있는 로봇 (1006) 은 포드 (pod) (1008) 를 통해서 로딩된 카세트로부터 기판들을 대기 포트 (atmospheric port) (1010) 를 통해서 인바운드 로드 락 (1002) 내로 이동시키도록 구성된다. 기판이 인바운드 로드 락 (1002) 내의 페데스탈 (1012) 상에 로봇 (1006) 에 의해서 배치될 수 있으며, 대기 포트 (1010) 는 폐쇄되고, 로드 락은 이어서 펌프 다운될 수 있다. 인바운드 로드 락 (1002) 이 원격 플라즈마 소스를 포함하면, 기판은 프로세싱 챔버 (1014) 내로 도입되기 이전에 이 로드 락 내에서 원격 플라즈마 처리에 노출될 수 있다. 또한, 예를 들어서 습기 및 흡착된 가스를 제거하기 위해서 인바운드 로드 락 (1002) 내에서 기판이 가열될 수 있다. 이어서, 프로세싱 챔버 (1014) 로의 챔버 이송 포트 (1016) 가 개방되고, 다른 로봇 (미도시) 이 기판을 프로세싱 챔버 (1014) 내에서 프로세싱을 위해서 반응기 내에 도시된 제 1 스테이션의 페데스탈 상에 배치할 수 있다. 도 10에 도시된 구현예는 로드 락들을 포함하지만, 일부 구현예들에서, 프로세스 스테이션 내로의 기판의 직접적인 진입이 제공될 수 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (1014) 는 도 10에 도시된 실시예에서 1 내지 4로 숫자가 부여된 4 개의 프로세스 스테이션들을 포함한다. 각 프로세스 스테이션은 가열 또는 가열되지 않는 페데스탈 (스테이션 (1) 에 대해서 (1018) 로 도시됨) 및 가스 라인 유입부들을 포함한다. 몇몇 구현예들에서, 각 프로세스 스테이션은 상이한 또는 다수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어서, 일부 구현예들에서, 프로세스 스테이션은 ALD 프로세스 모드와 PECVD 프로세스 모드 간에서 스위칭될 수도 있다. 추가적으로 또는 이와 달리, 일부 구현예들에서, 프로세싱 챔버 (1014) 는 ALD 및 PECVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수 있다. 도시된 프로세싱 챔버 (1014) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 갖는 반면, 다른 구현예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
각 스테이션은 해당 스테이션에 있는 기판으로 프로세스 가스들을 전달하는 별도의 샤워헤드 어셈블리를 포함할 수 있다. 일부 구현예들에서, 이러한 샤워헤드들 일부 또는 전부는 본 명세서에서 기술된 바와 같은 다공성 베플을 갖는 저 볼륨 샤워헤드를 포함한다. 예를 들어서, 스테이션이 ALD 프로세싱, 또는 본 명세서에서 기술된 장비의 사용으로부터 이점을 얻을 수 있는 다른 프로세싱을 기판에 대하여 제공하면, 이 스테이션을 위한 샤워헤드는 본 명세서에서 논의되는 바와 같은 다공성 베플을 갖는 저 볼륨 샤워헤드일 수 있다.
도 10은 또한 프로세싱 챔버 (1014) 내에서 기판들을 이동하기 위한 기판 핸들링 시스템 (1090) 의 실시예를 도시한다. 일부 구현예들에서, 기판 핸들링 시스템 (1090) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드 록 사이에서 기판들을 이동시킬 수 있다. 임의의 적합한 기판 핸들링 시스템이 채택될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 기판 캐로절들 (carousels) 및 기판 처리 로봇들을 포함한다. 도 10은 또한 프로세스 툴 (1000) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채택된 시스템 제어기 (1050) 의 예를 도시한다. 시스템 제어기 (1050) 은 하나 이상의 메모리 디바이스들 (1056), 하나 이상의 대용량 저장 디바이스들 (1054), 및 하나 이상의 프로세서들 (1052) 을 포함할 수도 있다. 프로세서 (1052) 는 CPU 또는 컴퓨터, 아날로그 입력 접속부 및/또는 디지털 입력 접속부, 스텝퍼 모터 제어기 보드들, 등을 가질 수도 있다.
일부 구현예들에서, 제어기 (1050) 는 상술한 실례들의 일부일 수 있는 시스템의 일부일 수 있다. 이러한 시스템들은 반도체 프로세싱 장비, 예를 들어서 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 흐름 시스템, 등) 을 포함한다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 동안에 그리고 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수 있는 "제어기"로서 지칭될 수 있다. 제어기 (1050) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 본 명세서에서 개시된 프로세스들 중 임의의 것, 예를 들어서 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 락들 내외로의 웨이퍼 전달들을 제어하도록 프로그램될 수 있다.
넓게 말하자면, 제어기 (1050) 는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 실현하고, 엔드포인트 측정들을 실현하고 하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태로 된 칩들, 디지털 신호 프로세서들 (DSP), ASIC으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 이산화물, 표면들, 회로들, 및/또는 기판의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수 있다.
제어기 (1050) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어서, 제어기 (1050) 는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 실현할 수 있다. 일부 실례들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수 있다. 일부 실례들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기 (1050) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 또는 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (1050) 는 예를 들어서 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어서 본 명세서에서 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기 (1050) 의 실례는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어서, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리 기상 증착 (PVD) 챔버 또는 모듈, 화학 기상 증착 (CVD) 챔버 또는 모듈, 원자 층 증착 (ALD) 챔버 또는 모듈, 원자 층 에칭 (ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 기판의 제조 및/또는 가공 시에 사용되거나 연관될 수 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (1050) 는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 기판들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수 있다.
일부 구현예들에서, 시스템 제어기 (1050) 는 프로세스 툴 (1000) 의 모든 동작들을 제어한다. 시스템 제어기 (1050) 는 대용량 저장 디바이스 (1054) 에 저장되고, 메모리 디바이스 (1056) 로 로딩되고, 프로세서 (1052) 상에서 실행되는 시스템 제어 소프트웨어 (1058) 를 실행한다. 시스템 제어 소프트웨어 (1058) 는 타이밍, 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 기판 온도, 목표 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (1000) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 구성요소 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 구성요소들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 구현예들에서, 시스템 제어 소프트웨어 (1058) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, ALD 프로세스의 페이즈 각각은 시스템 제어기 (1050) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. ALD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 ALD 레시피 페이즈에 포함될 수도 있다. 일부 구현예들에서, 존재한다면, 다수의 샤워헤드들이 수행될 개별적인 병렬적인 프로세스 동작들을 가능하게 하도록 독립적으로 제어될 수 있다.
시스템 제어기 (1050) 와 연관된 대용량 저장 디바이스 (1054) 및/또는 메모리 디바이스 (1056) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 구현예들에서 채택될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1018) 상에 기판을 로딩하고 기판과 프로세스 툴 (1000) 의 다른 부분들 사이의 공간을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택적으로 하나 이상의 프로세스 스테이션들로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다. 적합한 상황들에서, 플라즈마 제어 프로그램은 플라즈마 생성기 또는 라디칼 소스 볼륨으로 프로세스 가스를 공급하는데 요구되는 밸브 및/또는 외부 플라즈마 생성기를 제어하기 위한 코드를 포함할 수 있다.
일부 구현예들에서, 시스템 제어기 (1050) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1050) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1050) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1000) 의 아날로그 출력 접속부 및/또는 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (1050) 는 다양한 반도체 제조 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기는 전형적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함하며, 이 프로세서는 장치가 본 발명에 따라서 방법을 수행하도록 인스트럭션들을 실행하도록 구성된다. 본 발명에 따라서 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능한 매체는 시스템 제어기에 커플링될 수 있다.
도 10에 도시된 반도체 프로세싱 툴은 단일 4-스테이션 프로세스 챔버, 또는 모듈을 도시하지만, 반도체 프로세싱 툴들의 다른 구현예들은 다수의 모듈들을 포함할 수 있으며, 각 모듈은 단일 스테이션 또는 다수의 스테이션들을 가질 수 있다. 이러한 모듈들은 모듈들 간의 기판들의 이동을 용이하게 할 수 있는 하나 이상의 전달 챔버들 주변에서 배열되거나 및/또는 서로 상호연결될 수 있다. 이러한 다중-모듈 반도체 프로세싱 툴들에 의해서 제공된 스테이션들 중 하나 이상에는 필요하다면 본 명세서에서 개시된 바와 같은 다공성 베플들을 포함하는 저 볼륨 샤워헤드들이 구비될 수 있다.
대체적으로 말하자면, 본 명세서에서 기술된 바와 같은 다공성 베플을 포함하는 저 볼륨 샤워헤드는 하나 이상의 반도체 기판들을 지지하도록 구성된 기판 지지부 위에서 반응 챔버 내에서 장착될 수 있다. 저 볼륨 샤워헤드는, 예를 들어서, 또한 반응 챔버에 대하여 리드 (lid) 역할을 하거나 리드의 부분 역할을 할 수 있다. 다른 구현예들에서, 저 볼륨 샤워헤드는 "샹들리에" 타입 샤워헤드일 수 있으며 스템 또는 다른 지지 구조체에 의해서 반응 챔버의 리드로부터 떠있을 수 있다.
본 명세서에서 기술된 장치/프로세스는 예를 들어서 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 가공 또는 제조 동안에 예를 들어서 스텝퍼와 같은 리소그래피 패터닝 툴 또는 프로세스들과 함께 사용될 수 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용 또는 수행될 수 있다. 막의 리소그래픽 패터닝은 일반적으로 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 웨이퍼 상에 포토레지스트의 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 레지스트를 선택적으로 제거하도록 레지스트를 현상하여 습식 벤치와 같은 툴을 사용하여 레지스트를 패터닝하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
전술한 바는 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 첨부된 청구항들의 범위 내에서 특정 변경 및 수정이 실시될 수 있다는 것이 명백할 것이다. 기술된 프로세스들, 시스템들 및 장치들을 구현하는 다수의 다른 방식들이 존재할 수 있다는 것도 주목되어야 한다. 따라서, 기술된 실시예들은 예시적이면서 비한정적으로 해석되어야 한다.

Claims (20)

  1. 반도체 프로세싱 장치에서 사용되는 샤워헤드로서,
    제 1 표면 및 상기 제 1 표면 반대편의 제 2 표면을 갖는 플레넘 볼륨 (plenum volume) 으로서, 상기 제 1 표면 및 제 2 표면은 적어도 부분적으로 상기 샤워헤드의 상기 플레넘 볼륨을 규정하는 (defining), 상기 플레넘 볼륨;
    상기 플레넘 볼륨과 유체적으로 연통하는 하나 이상의 가스 유입부들;
    복수의 제 1 관통-홀들을 포함하는 대면플레이트 (faceplate) 로서, 상기 복수의 제 1 관통-홀들은 상기 대면플레이트의 제 1 측면으로부터 제 2 측면으로 연장되며, 상기 대면플레이트의 제 1 측면은 상기 플레넘 볼륨의 제 1 표면을 규정하는 (defining), 상기 대면플레이트; 및
    복수의 제 2 관통-홀들을 포함하는 베플 (baffle) 로서, 상기 베플은 상기 플레넘 볼륨과 상기 하나 이상의 가스 유입부들 간의 영역에 위치하는, 상기 베플을 포함하는, 샤워헤드.
  2. 제 1 항에 있어서,
    상기 대면플레이트의 직경은 상기 베플의 직경보다 적어도 4 배 큰, 샤워헤드.
  3. 제 2 항에 있어서,
    상기 대면플레이트의 직경은 상기 베플의 직경보다 적어도 10 배 큰, 샤워헤드.
  4. 제 1 항에 있어서,
    상기 하나 이상의 가스 유입부들은 상기 플레넘 볼륨과 유체적으로 연통하는 스템 (stem) 을 포함하는, 샤워헤드.
  5. 제 4 항에 있어서,
    상기 스템의 볼륨은 약 1 밀리리터 내지 약 50 밀리리터인, 샤워헤드.
  6. 제 4 항에 있어서,
    상기 스템, 상기 영역, 및 상기 플레넘 볼륨 각각은 원통형 볼륨을 규정하며 (define),
    상기 플레넘 볼륨의 직경은 상기 영역의 직경보다 크며, 상기 영역의 직경은 상기 스템의 직경보다 큰, 샤워헤드.
  7. 제 1 항에 있어서,
    상기 샤워헤드의 볼륨은 약 50 밀리리터 내지 약 500 밀리리터인, 샤워헤드.
  8. 제 7 항에 있어서,
    상기 샤워헤드의 볼륨은 약 100 밀리리터 내지 약 300 밀리리터인, 샤워헤드.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 베플의 기공율은 약 5% 내지 약 25%인, 샤워헤드.
  10. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 복수의 제 2 관통-홀들은 상기 베플의 중앙보다는 상기 베플의 에지들을 향해서 위치하는, 샤워헤드.
  11. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 베플은 상기 하나 이상의 가스 유입부들 상에 실질적으로 그 중앙이 있으며, 상기 제 1 표면 및 상기 제 2 표면과 실질적으로 평행한, 샤워헤드.
  12. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 제 1 관통-홀들의 개수는 약 1500 개 내지 약 2500 개의 관통-홀들인, 샤워헤드.
  13. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 대면플레이트에 대향하는 백플레이트 (backplate) 를 더 포함하며,
    상기 백플레이트의 일 측면은 상기 플레넘 볼륨의 제 2 측면을 규정하고 (define),
    상기 플레넘 볼륨과 상기 하나 이상의 가스 유입부들 간의 영역은 상기 플레넘 볼륨의 제 2 표면을 규정하는 상기 백플레이트의 측면 내로 리세스되는 (recessed), 샤워헤드.
  14. 제 1 항에 기재된 샤워헤드를 포함하는 반도체 프로세싱 스테이션.
  15. 제 14 항에 있어서,
    제어기를 더 포함하며,
    상기 제어기는,
    기판을 상기 반도체 프로세싱 스테이션 내로 제공하는 동작;
    상기 기판의 표면 상으로 흡착되도록 반응물 가스를 상기 샤워헤드를 통해서 상기 반도체 프로세싱 스테이션 내로 도입하는 동작;
    상기 샤워헤드를 통해서 퍼지 가스를 상기 반도체 프로세싱 스테이션 내로 도입하는 동작; 및
    상기 기판의 표면 상에 흡착된 반응물 가스로부터 박막 층을 형성하도록 플라즈마를 인가하는 동작을 수행하기 위한 인스트럭션들로 구성된, 반도체 프로세싱 스테이션.
  16. 제 15 항에 있어서,
    상기 박막 층의 막 불균일도는 약 0.5% 미만인, 반도체 프로세싱 스테이션.
  17. 제 16 항에 있어서,
    상기 불균일도는 상기 가스 반응물을 도입하는 동작, 상기 퍼지 가스를 도입하는 동작 및 상기 플라즈마를 인가하는 동작 중 하나 이상과 연관된 하나 이상의 프로세스 파라미터들과는 분리되는 (decoupled), 반도체 프로세싱 스테이션.
  18. 제 15 항 내지 제 17 항 중 어느 한 항에 있어서,
    원자 층 증착 (ALD) 사이클에서 상기 박막 층을 형성하는 것은 약 1.5 초 내에서 수행되는, 반도체 프로세싱 스테이션.
  19. 제 15 항 내지 제 17 항 중 어느 한 항에 기재된 반도체 프로세싱 스테이션을 포함하는 반도체 프로세싱 툴.
  20. 제 19 항에 있어서,
    상기 반도체 프로세싱 툴은 스텝퍼를 포함하는, 반도체 프로세싱 툴.
KR1020150062683A 2014-05-05 2015-05-04 다공성 베플을 갖는 저 볼륨 샤워헤드 KR102333103B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210164496A KR20210145114A (ko) 2014-05-05 2021-11-25 다공성 베플을 갖는 저 볼륨 샤워헤드

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461988834P 2014-05-05 2014-05-05
US61/988,834 2014-05-05
US14/668,511 2015-03-25
US14/668,511 US10741365B2 (en) 2014-05-05 2015-03-25 Low volume showerhead with porous baffle

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210164496A Division KR20210145114A (ko) 2014-05-05 2021-11-25 다공성 베플을 갖는 저 볼륨 샤워헤드

Publications (2)

Publication Number Publication Date
KR20150126789A true KR20150126789A (ko) 2015-11-13
KR102333103B1 KR102333103B1 (ko) 2021-11-29

Family

ID=54354842

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020150062683A KR102333103B1 (ko) 2014-05-05 2015-05-04 다공성 베플을 갖는 저 볼륨 샤워헤드
KR1020210164496A KR20210145114A (ko) 2014-05-05 2021-11-25 다공성 베플을 갖는 저 볼륨 샤워헤드
KR1020230119513A KR20230133257A (ko) 2014-05-05 2023-09-08 다공성 베플을 갖는 저 볼륨 샤워헤드
KR1020230124456A KR20230136584A (ko) 2014-05-05 2023-09-19 다공성 베플을 갖는 저 볼륨 샤워헤드

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020210164496A KR20210145114A (ko) 2014-05-05 2021-11-25 다공성 베플을 갖는 저 볼륨 샤워헤드
KR1020230119513A KR20230133257A (ko) 2014-05-05 2023-09-08 다공성 베플을 갖는 저 볼륨 샤워헤드
KR1020230124456A KR20230136584A (ko) 2014-05-05 2023-09-19 다공성 베플을 갖는 저 볼륨 샤워헤드

Country Status (6)

Country Link
US (1) US10741365B2 (ko)
JP (1) JP6799902B2 (ko)
KR (4) KR102333103B1 (ko)
CN (1) CN105088189B (ko)
SG (1) SG10201503253QA (ko)
TW (1) TWI681820B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160138911A (ko) * 2015-05-26 2016-12-06 램 리써치 코포레이션 과도현상 방지 샤워헤드
KR20200117941A (ko) * 2016-06-01 2020-10-14 에이에스엠 아이피 홀딩 비.브이. 균일한 기상 증착을 위한 매니폴드들
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10480070B2 (en) * 2016-05-12 2019-11-19 Versum Materials Us, Llc Delivery container with flow distributor
TWI738920B (zh) * 2016-11-14 2021-09-11 日商東京威力科創股份有限公司 半導體製造方法及相關裝置與電漿處理系統
JP2019054189A (ja) 2017-09-19 2019-04-04 東芝メモリ株式会社 成膜装置および成膜方法
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
TWI781346B (zh) * 2018-09-29 2022-10-21 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
US11183404B2 (en) * 2018-10-31 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Diffuser and semiconductor processing system using same
JP2022523541A (ja) 2019-03-08 2022-04-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用の多孔性シャワーヘッド
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
CN113802113A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种改善反应过程中反射功率稳定性的等离子体发生装置
KR102275757B1 (ko) * 2020-08-24 2021-07-09 피에스케이 주식회사 기판 처리 장치
TW202230442A (zh) * 2020-10-09 2022-08-01 美商蘭姆研究公司 無面板噴淋頭
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center
KR102606837B1 (ko) * 2021-11-02 2023-11-29 피에스케이 주식회사 상부 전극 유닛, 그리고 이를 포함하는 기판 처리 장치

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
KR20000071576A (ko) * 1999-04-07 2000-11-25 히가시 데쓰로 가스 처리 장치, 배플 부재 및 가스 처리 방법
KR20060017806A (ko) * 2003-05-22 2006-02-27 액셀리스 테크놀로지스, 인크. 플라즈마 애싱 장치 및 엔드포인트 검출 공정
KR100587628B1 (ko) * 2000-04-26 2006-06-08 액셀리스 테크놀로지스, 인크. 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판
KR20090003703U (ko) * 2007-10-16 2009-04-21 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
KR20100093347A (ko) * 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
KR20110139079A (ko) * 2010-06-21 2011-12-28 세메스 주식회사 박막 증착 장치
KR20110138730A (ko) * 2010-06-21 2011-12-28 세메스 주식회사 박막 증착 장치
KR20140011364A (ko) * 2011-03-04 2014-01-28 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
KR20140038902A (ko) * 2012-09-21 2014-03-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20140042699A (ko) * 2012-09-28 2014-04-07 도쿄엘렉트론가부시키가이샤 성막 장치

Family Cites Families (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (ko) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) * 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5186756A (en) * 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5106453A (en) * 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5286519A (en) * 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
EP0753082B1 (de) * 1994-03-29 1999-07-07 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
US5468298A (en) * 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) * 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6170894B1 (en) * 1999-06-10 2001-01-09 Rhonda Baker Glass pane lifter apparatus
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6205869B1 (en) * 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US20020134507A1 (en) * 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
WO2001046498A2 (en) * 1999-12-22 2001-06-28 Aixtron Ag Chemical vapor deposition reactor and process chamber for said reactor
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
WO2002058126A1 (fr) * 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
KR100676979B1 (ko) 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
CN100401852C (zh) 2001-04-30 2008-07-09 科林研发公司 用于控制工件支架表面上空间温度分布的方法与装置
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP4666912B2 (ja) * 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6986324B2 (en) * 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
AU2003220088A1 (en) * 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
JP2003271218A (ja) 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
WO2005054537A2 (en) * 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7449416B2 (en) * 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) * 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (ja) * 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN101522943B (zh) * 2006-10-10 2013-04-24 Asm美国公司 前体输送系统
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8128750B2 (en) * 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8568555B2 (en) * 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20090136652A1 (en) 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
USD590045S1 (en) * 2008-01-31 2009-04-07 Hansgrohe Ag Showerhead
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (ko) * 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
CN102754190B (zh) 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TWI563582B (en) * 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012054206A2 (en) * 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20120227665A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
CN102953050B (zh) * 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9314854B2 (en) * 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) * 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) * 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10022689B2 (en) * 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
KR20000071576A (ko) * 1999-04-07 2000-11-25 히가시 데쓰로 가스 처리 장치, 배플 부재 및 가스 처리 방법
KR100587628B1 (ko) * 2000-04-26 2006-06-08 액셀리스 테크놀로지스, 인크. 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판
KR20060017806A (ko) * 2003-05-22 2006-02-27 액셀리스 테크놀로지스, 인크. 플라즈마 애싱 장치 및 엔드포인트 검출 공정
KR20090003703U (ko) * 2007-10-16 2009-04-21 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
KR20100093347A (ko) * 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
KR20110139079A (ko) * 2010-06-21 2011-12-28 세메스 주식회사 박막 증착 장치
KR20110138730A (ko) * 2010-06-21 2011-12-28 세메스 주식회사 박막 증착 장치
KR20140011364A (ko) * 2011-03-04 2014-01-28 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
KR20140038902A (ko) * 2012-09-21 2014-03-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20140042699A (ko) * 2012-09-28 2014-04-07 도쿄엘렉트론가부시키가이샤 성막 장치

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160138911A (ko) * 2015-05-26 2016-12-06 램 리써치 코포레이션 과도현상 방지 샤워헤드
KR20200117941A (ko) * 2016-06-01 2020-10-14 에이에스엠 아이피 홀딩 비.브이. 균일한 기상 증착을 위한 매니폴드들
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds

Also Published As

Publication number Publication date
JP2016036011A (ja) 2016-03-17
TWI681820B (zh) 2020-01-11
US20150315706A1 (en) 2015-11-05
US10741365B2 (en) 2020-08-11
SG10201503253QA (en) 2015-12-30
TW201607614A (zh) 2016-03-01
JP6799902B2 (ja) 2020-12-16
CN105088189B (zh) 2019-03-15
KR20210145114A (ko) 2021-12-01
KR20230133257A (ko) 2023-09-19
KR102333103B1 (ko) 2021-11-29
CN105088189A (zh) 2015-11-25
KR20230136584A (ko) 2023-09-26

Similar Documents

Publication Publication Date Title
KR102627544B1 (ko) 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드
KR20230136584A (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
US9353439B2 (en) Cascade design showerhead for transient uniformity
JP2018026555A (ja) 堆積期間にわたる基板温度を変化させることによる界面反応の抑制
KR20160028360A (ko) 샤워헤드 능동 가열 및/또는 페데스탈 냉각에 의한 저온 ald 시스템들에서의 안정된 증착 레이트 제어를 위한 방법들 및 장치들
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
TWI483300B (zh) Substrate processing device
US20170053781A1 (en) Multi-Station Chamber Having Symmetric Grounding Plate
US20230005740A1 (en) Modulation of oxidation profile for substrate processing
US20210395885A1 (en) Throughput improvement with interval conditioning purging
JP2021532271A (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
KR102662595B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
KR20240059612A (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
KR20200067218A (ko) 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant