TWI681820B - 使用於半導體處理設備中的噴淋頭、半導體處理站及半導體處理工具 - Google Patents

使用於半導體處理設備中的噴淋頭、半導體處理站及半導體處理工具 Download PDF

Info

Publication number
TWI681820B
TWI681820B TW104114093A TW104114093A TWI681820B TW I681820 B TWI681820 B TW I681820B TW 104114093 A TW104114093 A TW 104114093A TW 104114093 A TW104114093 A TW 104114093A TW I681820 B TWI681820 B TW I681820B
Authority
TW
Taiwan
Prior art keywords
volume
semiconductor processing
shower head
panel
gas
Prior art date
Application number
TW104114093A
Other languages
English (en)
Other versions
TW201607614A (zh
Inventor
拉密許 謙德拉瑟哈蘭
山古特 尚朋
珊卡 史旺明內森
法蘭克 帕斯果
康虎
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201607614A publication Critical patent/TW201607614A/zh
Application granted granted Critical
Publication of TWI681820B publication Critical patent/TWI681820B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在半導體處理設備中的小體積噴淋頭可包括一多孔阻流板以改善原子層沉積期間內的吹淨時間及流動均勻性。該噴淋頭可包括:一充氣部體積、與該充氣部體積流體連通的一或更多氣體入口;包括複數第一通孔的一面板,該面板係用以將氣體配送至該半導體處理設備中的基板上;及一阻流板,該阻流板係設置在該充氣部體積與該一或更多氣體入口之間的一區域中。該一或更多氣體入口可包括具有小體積的柄部以改善吹淨時間。該阻流板可為多孔的、且設置在該柄部與該充氣部體積之間以改善流動均勻性及避免噴射。

Description

使用於半導體處理設備中的噴淋頭、半導體處理站及半導 體處理工具
本申請案主張於2015年3月25日所提出的發明名稱為「LOW VOLUME SHOWERHEAD WITH POROUS BAFFLE」之美國專利申請案第14/668511號之優先權,且該美國專利申請案第14/668511號主張2014年5月5日所提出的發明名稱為「ULTRA LOW VOLUME SHOWERHEAD FOR ATOMIC LAYER DEPOSITION」之美國臨時專利申請案第61/988834號之優先權,其中每一者之完整內容被納入本文中作為參照。
本揭露內容大體上係涉及用以在半導體處理設備中配送氣體之噴淋頭。本揭露內容的某些態樣係關於用以在原子層沉積處理中配送氣體的小體積噴淋頭,該小體積噴淋頭具有多孔阻流板。
半導體處理工具經常包括設計用來以在整個半導體基板或晶圓上相對均勻的方式配送處理氣體之元件。這樣的元件在業界普遍稱為 「噴淋頭」。噴淋頭一般包括面向某種充氣部體積之面板。該面板可包括複數通孔,該複數通孔使得充氣部體積中的氣體得以流動穿過面板並進入基板與面板之間(或支撐晶圓的晶圓支撐部與面板之間)的反應空間。通孔一般佈置成使得整個晶圓上的氣體配送可導致實質上均勻的基板處理。
本揭露內容係關於用於半導體處理設備中的噴淋頭。該噴淋頭包括一充氣部體積,該充氣部體積具有一第一表面及在該第一表面對面的一第二表面,該第一表面及該第二表面至少部分地界定了該噴淋頭的充氣部體積。該噴淋頭亦包括與該充氣部體積流體連通的一或更多氣體入口、包括複數第一通孔的一面板、及包括複數第二通孔的一阻流板。該複數第一通孔從該面板的一第一側延伸至一第二側,其中該面板的該第一側界定了該充氣部體積的該第一表面。該阻流板包括複數第二通孔,其中該阻流板係設置在該充氣部體積與該一或更多氣體入口之間的一區域中。
在一些實行例中,該面板之直徑為該阻流板之直徑的至少四倍。在一些實行例中,該噴淋頭之體積在約50毫升與約500毫升之間。在一些實行例中,該阻流板之孔隙率在約5%與約25%之間。在一些實行例中,相較於該阻流板的中央,該複數第二通孔係設置得更靠近該阻流板的邊緣。在一些實行例中,該噴淋頭更包括在該面板的對面的一背板,其中該背板的一側界定了該充氣部體積的該第二表面,且其中該 充氣部體積與該一或更多氣體入口之間的該區域係位於界定了該充氣部體積之該第二表面的該背板之該側的凹陷中。
本揭露內容亦關於一半導體處理站,該半導體處理站包括了上述的噴淋頭。該半導體處理站包括一控制器,該控制器配置有用以執行以下操作之指令:提供一基板至該半導體處理站中、透過該噴淋頭將一反應物氣體引入該半導體處理站中以吸附至該基板之表面上、透過該噴淋頭將一吹淨氣體引入該半導體處理站中、及施加電漿以在該基板之表面上由被吸附的該反應物氣體形成一薄膜層。
在一些實行例中,該薄膜層的一膜不均勻性小於約0.5%。在一些實行例中,該膜不均勻性從與引入該反應物氣體、引入該吹淨氣體、及施加電漿其中一或多者相關聯的一或更多處理參數脫鉤(decoupled)。在一些實行例中,在一原子層沉積(ALD)循環中形成該薄膜層係在小於約1.5秒內完成。
本揭露內容亦關於包括了前述的半導體處理站之半導體處理工具。在一些實行例中,該半導體處理工具包括一步進機。
100‧‧‧噴淋頭
102‧‧‧背板
104‧‧‧面板
110‧‧‧阻流板
120‧‧‧氣體入口
122‧‧‧柄部
124‧‧‧窄管
126‧‧‧闊管
130‧‧‧充氣部體積
132‧‧‧通孔
200‧‧‧小體積噴淋頭
202‧‧‧背板
204‧‧‧面板
210‧‧‧多孔阻流板
212‧‧‧通孔
220‧‧‧氣體入口
222‧‧‧柄部
224‧‧‧窄管
230‧‧‧充氣部體積
232‧‧‧通孔
235‧‧‧區域
400a‧‧‧習知噴淋頭
400b‧‧‧小體積噴淋頭
402a‧‧‧背板
402b‧‧‧背板
404a‧‧‧面板
404b‧‧‧面板
410a‧‧‧阻流板
410b‧‧‧阻流板
422a‧‧‧柄部
422b‧‧‧柄部
424a‧‧‧窄管
424b‧‧‧窄管
426a‧‧‧闊管
430a‧‧‧充氣部體積
430b‧‧‧充氣部體積
435b‧‧‧區域
512‧‧‧通孔
532‧‧‧通孔
552‧‧‧通孔
550‧‧‧六邊形排列
560‧‧‧三角形排列
604a‧‧‧面板
604b‧‧‧面板
610a‧‧‧阻流板
610b‧‧‧阻流板
612b‧‧‧通孔
620a‧‧‧氣體入口
620b‧‧‧氣體入口
632a‧‧‧通孔
632b‧‧‧通孔
640a‧‧‧流動向量
640b‧‧‧流動向量
700a‧‧‧習知噴淋頭
700b‧‧‧小體積噴淋頭
702a‧‧‧背板
702b‧‧‧背板
710a‧‧‧阻流板
710b‧‧‧阻流板
712b‧‧‧通孔
714a‧‧‧內部支撐柱
714b‧‧‧內部支撐柱
720a‧‧‧氣體入口
720b‧‧‧氣體入口
735b‧‧‧過渡區域
1000‧‧‧多站處理工具
1002‧‧‧入站負載鎖室
1004‧‧‧出站負載鎖室
1006‧‧‧機械臂
1008‧‧‧基板盒
1010‧‧‧氣壓埠
1012‧‧‧底座
1014‧‧‧處理腔室
1016‧‧‧腔室傳輸埠
1018‧‧‧底座
1050‧‧‧控制器
1052‧‧‧處理器
1054‧‧‧大量儲存裝置
1056‧‧‧記憶裝置
1058‧‧‧系統控制軟體
1090‧‧‧基板搬運系統
圖1顯示了具有阻流板之範例噴淋頭的等角剖面圖。
圖2顯示了具有多孔阻流板之範例小體積噴淋頭的等角剖面圖。
圖3顯示了圖2之小體積噴淋頭中的多孔阻流板的放大等角剖面圖。
圖4顯示了二範例噴淋頭之側面剖面視圖的並排比較。
圖5顯示了小體積噴淋頭中的多孔阻流板及面板的通孔之排列的範例佈局。
圖6A顯示了範例噴淋頭的一部分之側面剖面視圖,該範例噴淋頭包括一阻流板,其中箭頭指出了該噴淋頭內的標稱氣體流動方向。
圖6B顯示了範例小體積噴淋頭的一部分之側面剖面視圖,該範例小體積噴淋頭包括一多孔阻流板,其中箭頭指出了小體積噴淋頭內的標稱氣體流動方向。
圖7A顯示了噴淋頭中之範例阻流板的等角視圖。
圖7B顯示了噴淋頭中之範例阻流板的等角視圖,該範例阻流板包括複數通孔。
圖8顯示一曲線圖,該曲線圖將來自噴淋頭面板之氣體的軸向流動速度描繪為面板之徑向尺寸的函數。
圖9顯示一曲線圖,該曲線圖描繪了二噴淋頭之原子層沉積的不均勻性之百分比。
圖10顯示一多站處理工具的示意圖,該多站處理工具可包括具有多孔阻流板的小體積噴淋頭。
在以下描述中,提出許多的特定細節以對所提出之概念提供周密的了解。提出之概念可被實行而無須部分或全部的特定細節。在其他情況下,為了不對描述的概念造成不必要地混淆,眾所周知的處理操 作則沒有被詳述。當配合特定的實施例描述一些概念時,將會了解這些實施例並非意圖為限制性的。
在此申請案中,術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「積體電路之半成品」為可替換使用的。一般熟悉本技藝者會了解,術語「積體電路之半成品」可意指一矽晶圓,且該矽晶圓可處於在其上之積體電路製造的許多階段其中任何一者的期間內。半導體元件工業中所使用的晶圓或基板一般具有200mm、300mm、或450mm之直徑。以下實施例假定本發明係在晶圓上實施。然而,本發明不因此而受限。工作件可由各樣的形狀、尺寸、及材料來製成。在半導體晶圓之外,其他可利用本發明之工作件包括了各樣的物件,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、反射鏡、光學元件、微型機械設備、及類似物件。
在此揭露內容中的一些圖式及討論中可能採用了一些慣例用法。舉例而言,在許多地方提到了「體積」,例如「充氣部體積」。這些體積一般可能標示在許多的圖中,但吾人應理解,圖式及隨附的元件符號僅代表了這樣的體積之近似表示,實際的體積可能延伸至例如界定了該體積之邊界的不同實心表面。各樣的較小體積(例如,通過原本會是實心之充氣部體積邊界表面的氣體入口或其它的孔)可流體連接至充氣部體積。
吾人應理解,例如「在...上方」、「在...頂部上」、「在...下方」、「在...下面」等的相對性的術語之使用,應被理解為意指複數元件的 空間關係(關於在噴淋頭的正常使用期間內這些元件之方位)。換言之,可對噴淋頭進行定向以於基板處理操作期間內向下往基板配送氣體。
引言
在半導體處理中所使用的各樣沉積技術中,一特定的沉積技術可包括原子層沉積(ALD)。相對於使用熱活化氣相反應來沉積膜的化學氣相沉積(CVD)處理,ALD處理使用表面媒介沉積反應而以逐層堆疊的方式來沉積膜。在一範例ALD處理中,將包括了表面活性部位之群體的基板表面暴露於第一膜前驅物(P1)的氣相配送。P1的一些分子可在基板表面之上形成凝態,其中包括了P1的化學吸附物種及物理吸附分子。接著將反應器抽空以移除氣相及物理吸附的P1,使得僅有化學吸附物種留下。接著將第二膜前驅物(P2)引入至反應器中,使得P2的一些分子吸附至基板表面。可再次將反應器抽空,這一次是為了移除未結合的P2。隨後,提供至基板的能量使得在P1與P2的被吸附分子之間的表面反應活化,從而形成一膜層。最後,將反應器抽空以移除反應副產物及可能的未反應P1及P2,從而結束ALD循環反應。可包括額外的ALD循環以增加膜厚度。
依據前驅物注劑步驟的暴露時間及前驅物的粘著係數,在一範例中,每一ALD循環可沉積在二分之一與三埃之間厚的一膜層。每一ALD循環可持續約5秒或更少、約3秒或更少、或約2秒或更少。
保角膜沉積(CFD)為類似於ALD技術的沉積技術,其中於多個循環期間內執行沉積,每一循環使用小量的反應物或前驅物。一般而言, 用以產生CFD膜的表面反應係藉由使表面吸附的反應物暴露於電漿、紫外線輻射、或相似來源而活化。在某些情況下,一反應物於沉積處理期間內持續流動,該沉積處理可包括CFD的好幾個循環。不像ALD處理,許多CFD處理可容許二或更多反應物以汽相共存於腔室中。在CFD中,ALD處理中所描述的一或更多處理步驟可在一範例CFD處理中被縮短或除去。在2011年4月11日所提出的美國專利申請案第13/084399號中描述了使用CFD來形成膜的方法,其內容被納入本文中作為參照。為了便於理解,以下提供CFD的簡短描述。
大體而言,一CFD循環為表面沉積反應可執行的最小一組操作。一循環的結果為在基板表面上產生至少一部分薄膜層。一般而言,一CFD循環僅會包括用以將每一反應物輸送並吸附至基板表面、及接著使那些吸附反應物反應以形成部分膜層所需要的那些步驟。當然,循環可包括某些輔助步驟,例如清除反應物或副產物其中的一或更多者、及/或於沉積時對部分膜進行處理。大體而言,一循環僅包含一次的操作之獨特序列。如一範例,一循環可包括以下操作:(i)反應物A之輸送/吸附、(ii)反應物B的輸送/吸附、(iii)使用吹淨氣體(purge gas)將B清除出反應腔室、及(iv)施加電漿來驅動A及B的表面反應以在表面上形成部分膜層。在一些實行例中,可將這些步驟之特性描述為注劑步驟、吹淨步驟、及電漿步驟。在一些實行例中,循環中可包括一後電漿吹淨步驟以用於進一步的吹淨。
一些實行例可使用不同的處理序列。一可能的處理包括了以下的操作序列:(1)持續流動輔助反應物、(2)提供含矽的或其他主要反應物 之注劑、(3)吹淨1、(4)將基板暴露於RF電漿、(5)吹淨2。另一替代處理包括了以下的操作序列:(1)持續流動惰性氣體、(2)提供含矽的或其他主要反應物之注劑、(3)吹淨1、(4)於提供氧化劑或其它輔助反應物之注劑的同時將基板暴露於RF電漿、(5)吹淨2。
大體而言,「清除」或「吹淨」階段的概念將汽相反應物其中一者從反應腔室中移除或吹淨、且一般僅在這樣的反應物之輸送完成之後才發生。換言之,在吹淨階段期間內該反應物不再被輸送至反應腔室中。然而,在吹淨階段期間內該反應物保持吸附在基板表面上。一般而言,吹淨係用以在反應物被吸附至基板表面上達想要的水準之後移除腔室中任何殘留的汽相反應物。吹淨階段亦可將弱吸附的物種(例如,某些前驅物配位基或反應副產物)從基板表面移除。
在半導體處理設備中,噴淋頭經常用於以想要的方式(例如以均勻配送的方式)將處理氣體配送至整個半導體基板。噴淋頭一般包括充氣部,該充氣部的邊界被一面板所界定,該面板具有通向噴淋頭的外部的複數氣體配送孔。面板一般面向半導體處理腔室或反應腔室中的基板反應區域,且基板在半導體處理腔室中通常放在面板下方,例如於面板下方的位置支撐著晶圓的晶圓支撐部或底座上。
在ALD中,薄膜以自限(self-limiting)及自飽和(self-saturating)的方式在基板表面上生長。換言之,前驅物被輸送並以自限的方式與表面反應,使得一旦表面上的所有反應部位被消耗,則反應終止。這意味著,ALD處理中的特定步驟已達到飽和。一般而言,當達到完全飽和時,膜均勻性不會是問題。然而,許多ALD處理以更節約的方式操作, 並要求一定的產量門檻。因此,為了達成想要的產量,在ALD中並非所有的步驟達到完全飽和,所以完全飽和在ALD處理中可能是因產量而難以達成的(throughput-prohibitive)。舉例而言,為了達成想要的產量,ALD處理可達到在約70%與約99%之間的飽和度。如本文中所使用,ALD處理可包括CFD處理,且其為可互換使用的。
因此,可以膜均勻性的代價來達成更高的產量,且可以產量的代價來達成更大的膜均勻性。然而,本揭露內容的噴淋頭可設計來改善膜均勻性及產量。在一些實行例中,噴淋頭可設計來幫助ALD處理中的處理或吹淨氣體之輸送。在ALD處理中,改善汽相反應物輸送之流動均勻性可改善所沉積之膜的均勻性(尤其是在注劑及電漿步驟期間內)。此外,對於吹淨時間的改善可改善吹淨步驟的效率,從而增加了ALD處理的產量。
可藉由減少噴淋頭的體積而獲得可提高產量的噴淋頭。可將充氣部體積及柄部體積減少或最小化以減少在吹淨步驟期間內完成前驅物之吹淨的吹淨時間。減少的體積增加了背壓,使得吹淨氣體可快速且有效地輸送至反應腔室中。然而,減少噴淋頭的體積一般可能危害到沉積膜的膜均勻性。在小體積噴淋頭中獲得在整個噴淋頭面板上空間均勻(spatially uniform)之流動可為困難的。在整個面板上的空間不均勻之流動可能導致在正進行沉積之膜中的厚度不均勻性。如上面所述,在一些ALD處理中,ALD處理中的沉積循環可能是短的、且可能不允許達到完全飽和。因此,在整個面板上的空間不均勻之流動可能對沉積膜的膜均勻性及膜特性產生負面影響。
具有多孔阻流板之小體積噴淋頭
本揭露內容提供了一噴淋頭,該噴淋頭具有減少的體積而無需承受空間不均勻流動之壞處。這樣的小體積噴淋頭可包括一多孔阻流板,該多孔阻流板係位於柄部體積與充氣部體積之間的區域之凹陷中。小體積噴淋頭可意指具有約等於或小於500毫升的總體積之噴淋頭。在一些實行例中,小體積噴淋頭可具有在約50毫升與約500毫升之間的體積。習知噴淋頭可具有大於500毫升的體積(尤其在ALD應用中)。
大體而言,存在著二主要類型的噴淋頭:枝型吊燈類型(chandelier type)、及嵌入安裝類型(flush mount type)。枝型吊燈類型噴淋頭具有一柄部,該柄部一端附接至腔室的頂部、且另一端附接至面板或背板。柄的一部分可從腔室頂部突出用以連接氣體管線及RF功率。嵌入安裝的噴淋頭類型係整合至腔室的頂部中、且一般不具有柄部。雖然所描繪的圖式通常是關於枝型吊燈類型噴淋頭,但吾人應理解,本揭露內容亦可適用於嵌入安裝類型噴淋頭。
圖1顯示了具有阻流板110之範例噴淋頭100的等角剖面圖。圖1中的噴淋頭100可具有大於500毫升的體積、並包括一非多孔阻流板110。如圖1中所示,噴淋頭100包括了背板102及面板104,其中背板102及面板104可為分開的機械元件或被整合成為單一主體。可將背板102及面板104設置在彼此對面。面板104可具有複數氣體配送孔或通孔132以幫助將氣體輸送至基板。可將充氣部體積130界定在背板102與面板104之間,其中充氣部體積130可具有第一表面、及在第一表面對面的 第二表面。在一些實行例中,充氣部體積130的第一表面及第二表面可具有環向表面(circumferential surface)。第一表面及第二表面可至少部分地界定噴淋頭100的充氣部體積130。面板104的第一側可界定充氣部體積130的第一表面。背板102的第二側可界定充氣部體積130的第二表面。在一些實行例中,如圖1中所繪示,沿著充氣部體積130的第二表面,充氣部體積130在形狀上可為大致上圓錐形的。
可透過一或更多氣體入口120對充氣部體積130供應氣體(例如,反應物氣體或吹淨氣體)。圖1中的氣體入口120可連接至用以輸送氣體的一或複數氣體供應器。氣體入口120可包括柄部122,其中柄部122可包括連接至窄管124的闊管126。闊管126可具有大於窄管124之直徑的直徑以於到達充氣部體積130時提供更空間分佈的流動。
噴淋頭100可更包括阻流板110,該阻流板係位於充氣部體積130的凹陷中。阻流板110可為安裝在充氣部體積130中的實心或非多孔結構以引導氣體向外穿過充氣部體積130、及朝向面板104的邊緣。可將阻流板110安裝在離氣體入口120一定距離的地方以容許氣體在充氣部體積130內散佈。此外,在第二表面的充氣部體積130可為圓錐形的以在氣體入口120與阻流板110之間提供更多空間。在一些實行例中,阻流板110可為圓形的、且具有大於闊管124之直徑的直徑。藉由引導氣體的流動向外穿過充氣部體積130,可得到更大的流動均勻性。此外,阻流板110可大致位於氣體入口120的中央以避免氣體流動噴射穿過面板104的中央、或者將其最小化。
圖2顯示了具有多孔阻流板210的範例小體積噴淋頭200的等角剖面圖。多孔阻流板210亦可稱為多孔阻流板片。圖2中的小體積噴淋頭200可具有在約50毫升與約500毫升之間的體積、並包括了多孔阻流板210。在一些實行例中,小體積噴淋頭200可具有在約100毫升與約300毫升之間的體積。小體積噴淋頭200包括了背板202及面板204,其中背板202及面板204可為分開的機械元件或被整合成為單一主體。可將背板202及面板204設置在彼此對面。在一些實行例中,背板202及面板204每一者可為圓柱形的。面板204可具有複數通孔232以幫助將氣體輸送至基板。在一些實行例中,面板204的尺寸(例如,直徑)可對應或大致對應於正在處理的基板之尺寸。通孔232可從第一側延伸穿過面板204至面板204的第二側。可將充氣部體積230界定在背板202與面板204之間,其中充氣部體積230可具有第一表面及在第一表面對面之第二表面。在一些實行例中,充氣部體積230的第一表面及第二表面可具有環向表面。第一表面及第二表面可至少部分地界定了小體積噴淋頭200的充氣部體積230。面板204的第一側可界定充氣部體積230的第一表面。背板202的第二側可界定充氣部體積230的第二表面。在一些實行例中,如圖2中所繪示,充氣部體積230在形狀上可為圓柱形的或大致上圓柱形的。由於圖2中的充氣部體積230相較圖1中的充氣部體積130具有減小的體積,因此這可減少噴淋頭的總內部體積。
可透過一或更多氣體入口220而對充氣部體積230供應氣體(例如反應物氣體或吹淨氣體)。圖2中的氣體入口220可連接至用以輸送氣體的一或複數氣體供應器。氣體入口220可包括柄部222,其中柄部222可 包括窄管224。柄部222可與充氣部體積230流體連通。在一些實行例中,柄部222的體積可在約1毫升與約50毫升之間。由於圖2中的窄管224相較圖1中的闊管126具有較小的直徑,因此將窄管224設置做為整個柄部222亦可減少噴淋頭的總內部體積。
小體積噴淋頭200可更包括一多孔阻流板210,該多孔阻流板係在充氣部體積230與氣體入口220之間的區域235中。圖3顯示了圖2的小體積噴淋頭200中的多孔阻流板210的放大等角剖面圖。在一些實行例中,多孔阻流板210可位於區域235的凹陷中,其中多孔阻流板210可安裝在離氣體入口220一定距離的地方、且在充氣部體積230的上方。雖然多孔阻流板210可設置在區域235中,但吾人應理解,在某些其它實行例中多孔阻流板210可設置在充氣部體積230中。因此,可將多孔阻流板210安裝在離氣體入口220一距離的地方,該距離延伸穿過區域235。區域235可為背板202的凹陷空間。該區域為在氣體入口220與充氣部體積230之間的氣體流動提供了一過渡區域。在一些實行例中,區域235可位於背板202的第二側的凹陷中,其中背板202的第二側界定了充氣部體積230的第二表面。在一些實行例中,柄部222、區域235、及充氣部體積230其中每一者界定了一圓柱形體積,其中充氣部體積230的直徑大於區域235的直徑,且區域235的直徑大於柄部222的直徑。
雖然吾人應理解,可將多孔阻流板210之特性描述為設置在充氣部體積230與氣體入口220之間的區域235中,但在該技術領域具有通常技術之人應理解,可將區域235視為氣體入口220的一部分、且多孔阻流板210可設置在氣體入口220中。然而,多孔阻流板210可具有允許氣 體流動穿過的孔隙率,而不會於設置在氣體入口220中時阻擋氣體的流動。
阻流板210可為選擇性地多孔的,其中阻流板210的孔隙率可在約5%與約25%之間。在某些實行例中,阻流板210可包括或者由多孔材料所製成。多孔材料之範例可包括多孔鋁、多孔氧化鋁、及多孔石英。阻流板210可由任何合適的材料製成(包括但不限於鋁、氧化鋁、石英、及不銹鋼)。材料可與遠距清潔相容、且可為鈍化或不容易與氨/氟自由基反應之材料。在某些實行例中,阻流板210可包括延伸穿過阻流板210的複數通孔212。可透過阻流板210之材料來提供通孔212以有效地模擬及模仿孔隙率。在一些實行例中,阻流板210可為圓形的,且具有大於柄部222之直徑的直徑。然而,在一些實行例中,阻流板210實質上小於面板204。例如,面板204之直徑為阻流板210之直徑的至少四倍、或為阻流板210之直徑的至少十倍。另外,阻流板210可具有小於區域235之直徑的直徑。因此,氣體流動可不僅被引導通過通孔212,而是亦被引導向外穿過充氣部體積230而朝向面板204的邊緣。藉由將氣體之流動引導通過通孔212並向外穿過充氣部體積230,雖然降低了噴淋頭200的總內部體積(相較於圖1中的噴淋頭100),但可得到更空間均勻的氣體流動。此外,阻流板210可大致位於氣體入口220的中央,俾使阻流板210的位置與阻流板210的孔隙率可將氣體噴射通過面板204之中央的效果最小化。在一些實行例中,阻流板210可大致平行於充氣部體積230的第一表面及第二表面。
圖4顯示了二範例噴淋頭400a及400b之側面剖面視圖的並排比較。習知噴淋頭400a顯示在左側,而本發明的小體積噴淋頭400b顯示在右側。習知噴淋頭400a可對應至圖1中的噴淋頭100,而小體積噴淋頭400b可對應至圖2中的小體積噴淋頭200。
噴淋頭400a及400b每一者包括了背板402、及在背板402的對面之面板404。習知噴淋頭400a的背板402a及面板404a至少部分地界定了充氣部體積430a,其中充氣部體積430a包括了圓柱形部分及在圓柱形部分上方的圓錐形部分。小體積噴淋頭400b的背板402b及面板404b至少部分地界定了充氣部體積430b,其中充氣部體積430b包括圓柱形部分。噴淋頭400a及400b每一者亦包括了柄部422a或422b,氣體通過該柄部而輸送至充氣部體積430a或430b。習知噴淋頭400a中的柄部422a包括了窄管424a及闊管426a,小體積噴淋頭400b中的柄部422b包括了窄管424b。因此,習知噴淋頭400a可具有較小體積噴淋頭400b之體積大得多的體積(由於較大的柄部直徑及較大的充氣部高度)。習知噴淋頭400a中的較大體積可在充氣部體積430a中的氣體流動上導致再循環區,而再循環區可導致流動均勻度漂移。習知噴淋頭400a中的體積較大亦可導致更長的吹淨時間及增加的過渡時間,從而導致了減小的產量。
此外,噴淋頭400a、400b包括阻流板410a、410b,其中習知噴淋頭400a包括了大的、非多孔的阻流板410a,小體積噴淋頭400b包括了小的、多孔的阻流板410b。在一些實行例中,小的、多孔的阻流板410b位於充氣部體積430b與柄部422b之間的區域435b的凹陷中。在一些實行例中,區域435b可構成柄部422b的延伸,其中區域435b具有較窄管 424b更大的直徑。在這樣的實行例中,可將小的、多孔的阻流板410b視為在柄部422b中。在一些實行例中,區域435b可做為擴散器,其中擴散器在形狀上可為圓錐形或圓柱形的。相較於大的、非多孔的阻流板410a,小的、多孔的阻流板410b可增加通過面板404中央的流量。在一些實行例中,小的、多孔的阻流板410b中之孔的數量與配置可提供通過面板404b之氣體更空間均勻的流動。在一些實行例中,面板404b中孔的數量與配置亦可影響通過面板404b之氣體流動的空間均勻性。例如,減少面板404b中的孔總數可增加跨越面板404b的壓降以將氣體的流動更向外推向面板404b的邊緣。
表1顯示了習知噴淋頭400a與小體積噴淋頭400b之間的特徵及值之比較。
Figure 104114093-A0305-02-0018-1
Figure 104114093-A0305-02-0019-2
本揭露內容之小體積噴淋頭400b可具有小於約700毫升、或介於約50毫升至約500毫升之間、或介於約100毫升至約300毫升之間的總內部體積。在表1中,本揭露內容的小體積噴淋頭400b將習知噴淋頭400a的總內部體積從742.7毫升降低至256.4毫升,這代表了在體積上減少了65%。習知噴淋頭400a中的充氣部高度可從0.25英寸減少至在小體積噴淋頭400b中的0.125英寸。在習知噴淋頭400a中的充氣部形狀可為大致上圓錐形的、或至少包括一大致上圓錐形部分及一大致上圓柱狀部分之組合。大致上圓錐形部分的錐體散度(divergence)可為大於約90度、或大於約120度。在小體積噴淋頭400b中的充氣部形狀可為圓柱形或大致上圓柱形。習知噴淋頭400a中的柄部直徑可從直徑1.21英寸減少至在小體積噴淋頭400b中的直徑約0.125英寸及更高。在一些實行例中,這可減少吹淨時間並改善半導體應用(例如ALD應用)中的產量。在一些實行例中,小體積噴淋頭400b中的柄部直徑可在轉變區435b中從較小的直徑轉變成較大的直徑,其中較大的直徑可為約1.21英寸或更少。
在一些實行例中,面板404a、404b中的通孔數量可影響在整個面板404a、404b上的流動均勻性。當噴淋頭的內部體積減小,提供在整個面板上的更均勻流動分佈可能需要增加充氣部體積與處理腔室之間的壓降。通常,氣體沿著最小阻力的路徑流動,因此,若小體積噴淋頭400b中的面板404b具有低的壓降,則氣體的流動將噴射通過面板404b的中央。然而,較高的壓降會將氣體的流動更向外朝向面板404b的邊緣推動。為了促成更高的壓降,面板404b中的通孔數目可能隨著從習知噴淋頭400a至小體積噴淋頭400a所減少的內部體積而減少。否則,若面板404b中存在著過量的通孔,則壓降可能太低且在整個面板404b上從中央至邊緣的流量不會是均勻的。在一些實行例中,在小體積噴淋頭400b中的面板404b中通孔的數目可為在約1000通孔與約3000通孔之間、或在約1500通孔與約2500通孔之間。例如,在表1中,可從習知噴淋頭400a中的3292通孔減少至小體積噴淋頭400b中的2257通孔。
對於通過小體積噴淋頭400b的一給定氣體流率而言,面板404b中的通孔數量可達成特定的壓降,並藉此提供了在整個面板404上之特定流動分佈。若氣體的流率低,則會需要較少的通孔來達成在整個面板404b上的想要之流動均勻性。
在一些實行例中,面板404a、404b中的通孔之排列亦可影響在整個面板404a、404b上的流動均勻性。在一些實行例中,通孔的幾何排列可為六邊形的。例如,習知噴淋頭400a之面板404a可具有六邊形排列之通孔。在一些實行例中,通孔的幾何排列可為三角形的。例如,小體積噴淋頭400b之面板404b可具有三角形排列的通孔。
習知噴淋頭400a可包括大的、非多孔的阻流板410a,該阻流板位於柄部422a下方的中央,以避免噴射通過面板404a中央的效果或者使其最小化。大的、非多孔的阻流板410a的直徑可為2.13英寸。非多孔阻流板410a的直徑可大於習知噴淋頭400a中的闊管426a的直徑。然而,為了足夠的流動均勻性,可增加充氣部體積430a的體積以將大的、非多孔的阻流板410a容納在柄部422a的下方。可藉由充氣部體積430a的圓錐形部分來提供增加的體積,使得氣體的流動可向外散佈。背板402a可為傾斜的背部以提供充氣部體積430a的圓錐形部分。
相較而言,本揭露內容的小體積噴淋頭400b可包括小的、多孔的阻流板410b,該阻流板位於柄部422b下方的中央,以避免噴射通過面板404b中央的效果或者使其最小化。在一些實行例中,小的、多孔的阻流板410b可能實質上小於大的、非多孔的阻流板410a。小的、多孔的阻流板410b可具有在約0.1英寸與約2.0英寸之間的直徑。例如,小的、多孔的阻流板410b可具有0.79英寸的直徑。面板404b的直徑可能實質上大於小的、多孔的阻流板410b之直徑。例如,面板404b的直徑可為13英寸。在一些實行例中,面板404b的直徑可為小的、多孔的阻流板410b之直徑的至少四倍,或可為小的、多孔的阻流板410b之直徑的至少十倍。
一般而言,從習知噴淋頭400a至小體積噴淋頭400b的內部體積之減少產生了「體積懲罰」,其中減少的內部體積藉由降低整個面板404b上的流動均勻性而對流動均勻性產生了負面的影響。為了避免小體積噴淋頭400b中的此體積懲罰,本揭露內容可提供小的、多孔的阻流板 410b,其中該小的、多孔的阻流板410b可設置於充氣部體積430b與柄部422b之間的區域435b中。小的、多孔的阻流板410b中可設置於充氣部體積430b的上方而不會阻塞氣體的流動。相反地,小的、多孔的阻流板410b中可設置在區域435b中用以改善流動均勻性,其中,小的、多孔的阻流板410b之直徑以及小的、多孔的阻流板410b中之通孔的大小、數量、及排列可將氣體流動引導至充氣部體積430b中,從而影響整個面板404b上的流動均勻性。另外,可對面板404b中的通孔之大小、數量、及排列進行配置以達成跨越面板404b的更高壓降、及獲得想要的流動均勻性。例如,在小的、多孔的阻流板410b中的通孔之直徑可在約0.01英寸與約0.15英寸之間,例如約0.08英寸。如圖5及圖7B中所繪示,小的、多孔的阻流板410b中可包括以六邊形環排列的六孔。相較於小的、多孔的阻流板410b的中央,該六孔可設置得更靠近小的、多孔的阻流板410b的邊緣。面板404b中的通孔之直徑可在約0.01英寸與約0.10英寸之間,例如約0.04英寸。面板404b可包括以複數三角形圖案(如圖5中所繪示)排列的超過2000個孔。
圖5顯示了小體積噴淋頭中的多孔阻流板的通孔512、及面板的通孔532、552之排列的範例佈局。習知噴淋頭中的面板中的通孔532可形成六邊形排列550,且在小體積噴淋頭中可將通孔552添加至通孔532中以形成三角形排列560。多孔阻流板中的通孔512可設置於面板的通孔532上方。多孔阻流板中的通孔512之排列、及面板中的通孔532、552之排列可影響在整個面板上的流動均勻性。
圖6A顯示了範例噴淋頭的一部分之側面剖面視圖,該範例噴淋頭包括阻流板610a,其中箭頭640a指出了該噴淋頭內的標稱氣體流動方向。圖6B顯示了範例小體積噴淋頭的一部分之側面剖面視圖,該範例小體積噴淋頭包括多孔阻流板610b,其中箭頭640b指出了小體積噴淋頭內的標稱氣體流動方向。來自氣體入口620a的氣體流動之流動向量640a可由圖6A中的箭頭來表示,來自氣體入口620b的氣體流動之流動向量640b可由圖6B中的箭頭來表示。阻流板610a、610b的位置、大小、及孔隙率可影響通過面板604a、604b之通孔632a、632b的流動向量640a、640b。阻流板610b中之通孔612b的大小、排列、及數目可影響通過面板604b的通孔632b之流動向量640b。在圖6A中,阻流板610a可將流動向量640a向外往面板604a的邊緣引導。然而,在圖6B中,多孔阻流板610b可將流動向量640b向外往邊緣引導、及往面板604b的中央引導,造成往面板604b中央的流量增加。在ALD應用中,這可導致在基板中央的更高注劑濃度。
圖7A顯示了習知噴淋頭700a中之範例阻流板710a的等角視圖。習知噴淋頭700a包括了背板702a及氣體入口720a,該氣體入口通過背板702a而流體連接至習知噴淋頭700a的充氣部體積。阻流板710a可位於充氣部體積的凹陷中,其中可從背板702a的一側藉由一或更多內部支撐柱714a而安裝阻流板710a。
圖7B顯示了小體積噴淋頭700b中之範例阻流板710b的等角視圖,該範例阻流板包括複數通孔712b。小體積噴淋頭700b包括了背板702b及氣體入口720b,該氣體入口通過背板702b而流體連接至小體積 噴淋頭700b的充氣部體積。在背板702b與氣體入口720b之間的交界上,一口袋區域或過渡區域735b被設置在充氣部體積與氣體入口720b之間。在一些實行例中,阻流板710b可位於過渡區域735b的凹陷中、或從過渡區域735b延伸,其中可從過渡區域735b藉由一或更多內部支撐柱714b而安裝阻流板710b。阻流板710b可包括複數通孔712b。在一些實行例中,相較於阻流板710b的中央,可選擇性地將複數通孔712b排列地更朝向阻流板710b的邊緣。在一些實行例中,阻流板710b的孔隙率可在約5%與約25%之間,例如約10%。在一些實行例中,阻流板710b可由多孔材料製成,或者阻流板710b可由實心材料所製成,該實心材料具有設置穿過其中的通孔712b。在一些實行例中,阻流板710b的通孔712b可以六邊形圖案排列。
圖8顯示一曲線圖,該曲線圖將來自噴淋頭面板之氣體的軸向流動速度描繪為面板之徑向尺寸的函數。在距離噴淋頭面板1mm所測量到的軸向流動速度可反映來自噴淋頭之氣體的流動均勻性,其中以圖示描繪從面板中央到邊緣的軸向流動速度。在每分鐘5標準公升(slm)的氧氣及6托的壓力下,不具有阻流板的噴淋頭於面板中央附近展現了極快的軸向流動速度、並於面板中央的附近幾公釐內展現了極慢的軸向流動速度。若沒有阻流板,則從面板的中央至邊緣的流動均勻性係非常差的。在5slm的氧氣及6托的壓力下,具有非多孔阻流板的噴淋頭於面板中央附近展現了極慢的軸向流動速度、並於更靠近面板邊緣的地方展現了增加的軸向流動速度。若使用非多孔阻流板,則從面板的中央至邊緣的流動均勻性係不良的。若使用距離噴淋頭表面2.5mm且包括 六通孔(其中每一者直徑為0.08英寸)的多孔阻流板,則從面板的中央至邊緣的軸向流動速度係相對均勻的。該多孔阻流板之直徑可為2cm、厚度可為1mm、且六通孔可距離中央1cm。
圖9顯示一曲線圖,該曲線圖描繪了二噴淋頭之原子層沉積的膜不均勻性的百分比。可藉由取在沉積膜的最厚部分與最薄部分之間的差、並將該值除以所沉積之膜厚度的平均值的兩倍而計算膜不均勻性:不均勻性%=(最大值-最小值)/(2*平均值)。在圖9中,習知噴淋頭可產生約0.5%的不均勻性,而本揭露內容的小體積噴淋頭可產生約0.2%的不均勻性。因此,藉由設計本揭露內容的小體積噴淋頭,可顯著地改善ALD處理中的膜均勻性。
本揭露內容的小體積噴淋頭可提供一硬體配置,該硬體配置可獲得膜均勻性而無需藉由調整各樣的處理步驟或處理旋鈕來進行補償。換言之,藉由設置以改善膜均勻性為目標的小體積噴淋頭,可使得膜均勻性從處理參數脫鉤(decoupled)。因此,像濕蝕刻速率、及乾蝕刻速率這樣的膜特性可與膜均勻性脫鉤。額外的膜特性可包括介電常數、折射率、濕蝕刻速率、乾蝕刻速率、光學特性、孔隙率、密度、成分、硬度、及模量、阻劑剝離及灰化速率、化學機械研磨的移除率、等。
一般而言,可藉由調整各樣的處理參數而獲得想要的膜均勻性水準。在一些實行例中,可對處理參數(例如流率、注劑時間、吹淨時間、射頻(RF)功率、RF開啟時間(RF on time)、及其它處理參數)進行調整以達成想要的膜均勻性。舉例而言,可藉由增加每一ALD循環的處理時間來提供更大的飽和度而改善膜均勻性。然而,產量將會減少。在另 一範例中,可藉由加入更多前驅物(例如,增加劑量)而改善膜均勻性。然而,增加前驅物劑量可能導致增加的化學成本、對膜的化學計量的負面影響、及對於膜特性(例如,濕蝕刻速率及乾蝕刻速率)之不想要的改變。因此,用以獲得想要之膜均勻性水準的一般手段可對產量及膜特性產生不想要的衝擊。
表2對本發明的小體積噴淋頭與劑量增加、RF功率、及RF開啟時間的處理參數進行在膜均勻性(中央厚度)及膜特性(濕蝕刻速率及乾蝕刻速率)上的比較。
Figure 104114093-A0305-02-0026-3
如表2中所示,本揭露內容的小體積噴淋頭增加了沉積膜的中央厚度,而沒有影響到沉積膜的濕蝕刻速率及乾蝕刻速率。然而,調整處理參數(例如,劑量水平、RF功率、及RF開啟時間)並未使膜均勻性與膜特性脫鉤。增加劑量增加了沉積膜的濕蝕刻速率及乾蝕刻速率。減少RF功率減少了沉積膜的乾蝕刻速率,且減少RF開啟時間減少了沉積膜的濕蝕刻速率。因此,設置小體積噴淋頭可在獲得想要的膜均勻性水準的同時為半導體處理提供更寬的處理窗口,而無須對處理參數 (例如,流率、劑量時間、吹淨時間、等)進行微調來獲得想要的膜均勻性水準。在一些實行例中,小體積噴淋頭可達成小於約1.0%的膜不均勻性,例如小於約0.5%、或小於約0.3%。在一些實行例中,可以1.5秒或更小的ALD循環達成小於約1.0%的膜不均勻性。例如,劑量時間可為0.4秒或更少,吹淨時間可為0.4秒或更少,且電漿步驟可為0.4秒或更少,且後電漿吹淨步驟可為0.15秒或更少。相較而言,習知噴淋頭中的ALD循環可大於每循環約1.5秒,而劑量時間為0.6秒或更多、吹淨時間為0.4秒或更多、電漿步驟為0.4秒或更多、且後電漿吹淨步驟為0.15秒或更多。小體積噴淋頭可在獲得想要的膜均勻性水準的同時藉由減少ALD循環的總時間而增加產量。此外,小體積噴淋頭可獲得想要的膜均勻性水準而不會影響其它的膜特性,例如濕蝕刻速率及乾蝕刻速率。
本揭露內容的小體積噴淋頭可安裝在半導體處理腔室中。處理腔室可包括安裝至腔室殼體之頂部的小體積噴淋頭。基板支撐部可支撐處理腔室內小體積噴淋頭下方的半導體基板。基板支撐部與小體積噴淋頭之間可形成一微體積。該微體積可做為基板反應區域、並可於處理期間內幫助將處理氣體集中並保持在半導體基板附近。基板支撐部可用以上下移動以便於裝卸操作。在一些實行例中,小體積噴淋頭可能是藉由柄部而懸掛在處理腔室的頂蓋下方,且其本身可能不形成處理腔室之「頂蓋」的一部分。在這樣的實行例中,小體積噴淋頭可用以上下移動以便於基板裝卸操作。
在一些實行例中,可將一或更多處理腔室設置為多站半導體處理工具中的處理站。在一些實行例中,單一處理腔室可包括多個處理站,多個處理站其中的一些或全部可具有它們自己的小體積噴淋頭組件。
圖10顯示一多站處理工具的示意圖,該多站處理工具可包括具有多孔阻流板的小體積噴淋頭。該多站處理工具1000可包括入站負載鎖室1002及出站負載鎖室1004。位於常壓的機械臂1006可用以將基板從透過基板盒(pod)1008裝載的卡匣中經由氣壓埠1010移動至入站負載鎖室1002中。可藉由機械臂1006將基板放置在入站負載鎖室1002中的底座1012上,可關閉氣壓埠1010,然後可將負載鎖室抽空。若入站負載鎖室1002包括一遠距電漿源,則基板可在被引入處理腔室1014前在負載鎖室中暴露於遠距電漿處理。另外,基板亦可在入站負載鎖室1002中被加熱,例如,以移除濕氣及吸附的氣體。接下來,可開啟通往處理腔室1014的腔室傳輸埠1016,然後另一機械臂(未顯示)可將基板放置在處理腔室1014中之第一站(顯示於反應器中)的底座上以進行處理。雖然圖10中描繪的實行例包括負載鎖室,但吾人應理解,在一些實行例中,基板可直接進入處理站中。
描繪的處理腔室1014包括四處理站,在圖10所顯示的實行例中命名為1至4。每一站可具有加熱或未加熱的底座(對站1而言顯示於1018)、及氣體管線入口。吾人應理解在一些實行例中,每一處理站可具有不同或多重的目的。例如,在一些實行例中,一處理站可在ALD與電漿增強化學氣相沉積(PECVD)處理模式之間切換。此外或或者,在一些實行例中,處理腔室1014可包括一或更多配對的ALD及PECVD處 理站。雖然描繪的處理腔室1014包括四站,但吾人應了解根據本揭露內容之處理腔室可具有任何合適數量之站。例如,在一些實行例中,一處理腔室可具有五或更多的站,然而在其他實行例中,一處理腔室可具有三或更少的站。
每一站可包括獨立的噴淋頭組件,該噴淋頭組件將處理氣體輸送至相關聯的站之基板。在一些實行例中,這些噴淋頭其中一部分或全部可利用具有如本文中所描述之多孔阻流板的小體積噴淋頭。例如,若一站對基板提供ALD處理或其他可因使用本文中所描述之設備而受益的處理,則該站之噴淋頭可為具有本文所描述之多孔阻流板的小體積噴淋頭。
圖10亦描繪了用以在處理腔室1014內轉移基板的基板搬運系統1090。在一些實行例中,基板搬運系統1090可在不同處理站之間及/或在處理站與負載鎖室之間轉移基板。吾人應理解,可使用任何合適的基板搬運系統。非限制性的範例包括基板旋轉料架及基板搬運機械臂。圖10亦描繪一系統控制器1050,該系統控制器係用以控制處理工具1000的處理條件及硬體狀態。系統控制器1050可包括一或更多記憶裝置1056、一或更多大量儲存裝置1054、及一或更多處理器1052。處理器1052可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制板、等。
在某些實施方式中,控制器1050是系統的一部分,該系統可為上述範例的一部分。這樣的系統可包含半導體處理裝備,其中包括了一或更多處理工具、一或更多腔室、用於處理的一或更多平台、及/或特 定處理元件(晶圓底座、氣體流動系統、等)。這些系統可與電子元件整合,該等電子元件係用以於對半導體晶圓或基板進行處理之前、期間內、及之後控制這些系統之操作。電子元件可意指能控制一或更多系統之各樣的元件或子部件的「控制器」。取決於處理要求及/或系統類型,可對控制器1050進行程式化以控制在本文中揭露的任何處理,其中包括處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、晶圓傳遞(進入與離開連接至該系統、或與該系統接合之工具及其他傳遞工具及/或負載鎖室)。
廣義而言,控制器1050可定義為具有各樣用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能的積體電路、邏輯、記憶體、及/或軟體之電子元件。積體電路可包括儲存程式指令之韌體形式的晶片、數位信號處理器(DSPs)、定義為特定應用積體電路(ASICs)之晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各樣的單獨設定(或程式檔案)之形式傳遞至控制器的指令,該等指令定義了用以在半導體基板上、或對半導體基板實行特定處理的操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在基板之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間內完成一或更多處理步驟的配方的一部分。
在一些實施例中,控制器1050可為電腦的一部分或連接至電腦,該電腦與該系統整合、連接至該系統、或者網路連接至該系統、或其組合。例如,控制器1050可在「雲端」中、或可使得基板處理之遠端存取得以進行之工廠主機電腦系統的全部或一部分。該電腦可使得對系統之遠端存取得以進行以監控製造操作的當前處理、檢驗過去製造操作的歷史記錄、檢驗複數製造操作的趨勢或效能評量、改變當前處理的參數、設置在當前處理之後的處理步驟、或開始新處理。在一些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,該網路可包括區域網路或網際網路。遠端電腦可包括使用者界面,該使用者介面使得吾人得以進行參數及/或設定之輸入或程式化,該參數及/或設定接著從遠端電腦被傳遞至該系統。在某些範例中,控制器接收數據形式的指令,該數據載明了待於一或更多操作期間內執行之每一處理步驟的參數。吾人應理解,該等參數可針對待執行的處理類型、及控制器1050與其交流或對其進行控制之工具類型。因此如上面所述,控制器1050可為分散式的,例如藉由包含網路連接在一起的一或更多獨立控制器、並朝著共同目標工作(例如本文中所描述之處理及控制)。用於這樣目標的分散式控制器1050的一範例會是腔室中的一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦的一部分)的一或更多積體電路通信並相結合以控制腔室中的處理。
非限制性地,範例系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、 斜角蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何其他可在半導體基板之加工及/或製造中使用或相關聯之半導體處理系統。
如上面所述,依據該工具待執行的處理操作或複數處理操作,控制器1050可與其他工具電路或模組、其它工具元件、叢聚式工具、其它工具介面、鄰接的工具、鄰近的工具、遍佈工廠的工具、主電腦、另一控制器、或在材料運送中所使用的工具其中一或更多者通信,其中在材料運送中所使用的工具係用以將基板之容器運送至半導體製造工廠中之裝載埠及/或工具的位置、或從半導體製造工廠中之裝載埠及/或工具的位置取出。
在一些實行例中,系統控制器1050控制處理工具1000的全部活動。系統控制器1050執行系統控制軟體1058,其中該軟體被儲存於大量儲存裝置1054中、被載入記憶裝置中1056、然後被在處理器1052上執行。系統控制軟體1058可包括複數指令,用以控制時序、氣體的混合、腔室及/或站壓力、腔室及/或站溫度、基板溫度、目標功率位準、RF功率位準、基板底座、卡盤、及/或承受體位置、及由處理工具1000執行的特定處理之其他參數。可以任何合適的方式配置系統控制軟體1058。例如,可撰寫各樣的處理工具元件子程式或控制物件以控制用以實行各樣處理工具之處理所必須的處理工具元件之運作。可以任何合適的電腦可讀程式語言將系統控制軟體1058編碼。
在一些實行例中,系統控制軟體1058可包括輸入/輸出控制(IOC)序列指令,用以控制上述的各樣參數。例如,ALD處理其中每一階段可包括一或更多用以被系統控制器1050執行的指令。用以為ALD處理階段設定處理條件的指令可被包括在對應的ALD配方階段中。在一些實行例中,若存在多個噴淋頭,則可對該等噴淋頭進行獨立地控制以使得吾人得以執行分開及平行的處理操作。
在一些實行例中可使用儲存在與系統控制器1050聯結的大量儲存裝置1054及/或記憶裝置1056上的其他電腦軟體及/或程式。用於此目的之程式或程式片段之範例包括基板放置程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板放置程式可包括用於處理工具元件上之程式碼,其中該處理工具元件係用以將基板裝載至底座1018上並控制基板與處理工具1000的其他零件之間的間隔。
處理氣體控制程式可包括用以控制氣體成分及流率、並可選性地用以在沉積前將氣體流動進入一或更多處理站以穩定處理站內的壓力之編碼。壓力控制程式可包括藉由調節例如處理站之排氣系統中的節流閥、或進入處理站的氣體流量而控制處理站中的壓力之編碼。
加熱器控制程式可包括用於控制至用以加熱基板之加熱單元的電流之編碼。或者,加熱器控制程式可控制熱傳氣體(例如氦)至基板的輸送。
電漿控制程式可包括用於設定施加至一或更多處理站中之處理電極的RF功率位準之編碼。在適當的情況下,電漿控制程式包括用以 控制外部電漿產生器及/或將處理氣體供應至電漿產生器或自由基來源體積所需之閥門調節之編碼。
在一些實行例中,可能具有與系統控制器1050聯結的使用者介面。該使用者介面可包括顯示螢幕、設備及/或處理條件的繪圖軟體顯示器、及使用者輸入裝置(例如指標裝置、鍵盤、觸控螢幕、麥克風、等)。
在一些實行例中,藉由系統控制器1050調整的參數可能與處理條件有關。非限制性範例包括處理氣體成分及流率、溫度、壓力、電漿條件(例如RF偏壓功率水平)、等。可將這些參數以配方的形式提供給使用者,而該配方可利用使用者介面而輸入。
可從各樣的處理工具感測器藉由系統控制器1050的類比及/或數位輸入連接而提供用以監控處理的訊號。可在處理工具1000的類比及數位輸出連接上輸出用以控制處理的訊號。可監控的處理工具感測器之非限制性範例包括質量流量控制器、壓力感測器(例如壓力計)、熱偶、等。可配合來自這些感測器的數據,使用合適地被程式化之反饋及控制演算法以維持處理條件。
系統控制器1050可提供用以實行各樣半導體加工處理的程式指令。該程式指令可控制各樣的處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、等。該等指令可控制參數以對膜堆疊體的原位沉積進行操作。
系統控制器一般會包括用以執行指令的一或更多記憶裝置及一或更多處理器,俾使設備會執行根據本發明之方法。可將機器可讀媒 體連結至系統控制器,其中該機器可讀媒體含有用以根據本發明而對處理操作進行控制之指令。
雖然圖10中所示的半導體處理工具描繪了單一的四站處理腔室或模組,但半導體處理工具的其它實行例可包括多個模組,其中每一模組具有單站或多個站。這樣的模組可彼此內連、及/或被安排在可幫助基板在模組之間移動的一或更多傳輸腔室附近。這樣的多模組半導體處理工具所設置的複數站其中一或更多者可根據需要而配備有包括了如本文中所述之多孔阻流板的小體積噴淋頭。
一般而言,包括如本文中所述之多孔阻流板的小體積噴淋頭可被安裝在反應腔室中用以支持一或更多半導體基板的基板支撐部之上方。舉例而言,小體積噴淋頭亦可做為反應腔室的頂蓋、或頂蓋的一部分。在其他實行例中,小體積噴淋頭可為「枝型吊燈」型噴淋頭,且可藉由柄部或其他支撐結構而懸掛在反應腔室的頂蓋下方。
上文中所描述之設備/處理可配合微影圖案化工具或處理(例如,步進機)而使用,例如用於半導體元件、顯示器、LEDs、太陽光電板、及類似物之製造或加工。一般來說,雖然不是必然,會在一共同的加工設備中一起使用或進行這樣的工具/處理。膜的微影圖案化一般包括一些或全部的以下操作,每一操作藉由一些可能的工具而實行,如:(1)使用旋塗或噴塗工具將光阻施用在工作件(換言之,晶圓)上;(2)使用熱盤或加熱爐或UV固化工具將光阻固化;(3)利用例如晶圓步進機這樣的工具來將光阻暴露於可見或UV或X光;(4)使用例如濕式清洗台這樣的工具來將光阻顯影以選擇性地移除光阻並藉此將其圖案 化;(5)藉由使用乾式或電漿輔助蝕刻工具來將光阻圖案轉移至下面的膜或工作件中;及(6)使用例如RF或微波電漿光阻剝除器之工具來將光阻移除。
雖然為了清楚理解的目的已對前述的實施例進行詳細地描述,顯而易見的,仍可在隨附申請專利範圍的範圍內實行某些改變及修改。應當注意,所述的處理、系統及設備具有許多替代做法。因此,所述的實施例應被認為係說明性的而非限制性的。
200‧‧‧小體積噴淋頭
202‧‧‧背板
204‧‧‧面板
210‧‧‧多孔阻流板
220‧‧‧氣體入口
222‧‧‧柄部
224‧‧‧窄管
230‧‧‧充氣部體積
232‧‧‧通孔
235‧‧‧區域

Claims (20)

  1. 一種使用於半導體處理設備中的噴淋頭,包含:一充氣部體積,具有一第一表面及在該第一表面對面的一第二表面,該第一表面及該第二表面至少部分地界定了該噴淋頭的該充氣部體積;一面板,包括複數第一通孔,該複數第一通孔從該面板的一第一側延伸至一第二側,該面板的該第一側界定了該充氣部體積的該第一表面;一背板,位於該面板的對面,其中該背板的一側界定了該充氣部體積的該第二表面;一柄部,連接至該背板及與該充氣部體積流體連通;及一阻流板片,包括複數第二通孔,該阻流板片係至少部分或完全設置在該充氣部體積與該柄部之間的一區域中,其中該區域係一凹陷的區域,該凹陷的區域位於該背板之該側的凹陷中且係與該充氣部體積直接流體連通及與該柄部直接流體連通。
  2. 如申請專利範圍第1項之使用於半導體處理設備中的噴淋頭,其中該面板之直徑為該阻流板片之直徑的至少四倍。
  3. 如申請專利範圍第2項之使用於半導體處理設備中的噴淋頭,其中該面板之直徑為該阻流板片之直徑的至少十倍。
  4. 如申請專利範圍第1項之使用於半導體處理設備中的噴淋頭,更包含:一或更多氣體入口,在該柄部中,用以將氣體輸送至該充氣部體積,其中該阻流板片係安裝在該凹陷的區域中、在該一或更多氣體入口下方及該充氣部體積上方。
  5. 如申請專利範圍第1項之使用於半導體處理設備中的噴淋頭,其中該柄部之體積實質上在1毫升與50毫升之間。
  6. 如申請專利範圍第1項之使用於半導體處理設備中的噴淋頭,其中該柄部、該凹陷的區域、及該充氣部體積其中每一者界定了一圓柱形體積,且其中該充氣部體積之直徑大於該凹陷的區域之直徑,且該凹陷的區域之直徑大於該柄部之直徑。
  7. 如申請專利範圍第1項之使用於半導體處理設備中的噴淋頭,其中該噴淋頭之體積實質上在50毫升與500毫升之間。
  8. 如申請專利範圍第7項之使用於半導體處理設備中的噴淋頭,其中該噴淋頭之體積實質上在100毫升與300毫升之間。
  9. 如申請專利範圍第1至8項中任一項之使用於半導體處理設備中的噴淋頭,其中該阻流板片之孔隙率實質上在5%與25%之間。
  10. 如申請專利範圍第1至8項中任一項之使用於半導體處理設備中的噴淋頭,其中相較於該阻流板片的中央,該複數第二通孔係設置得更靠近該阻流板片的邊緣。
  11. 如申請專利範圍第1至8項中任一項之使用於半導體處理設備中的噴淋頭,其中該阻流板片實質上位於該柄部的中央、且實質上平行於該第一表面及該第二表面。
  12. 如申請專利範圍第1至8項中任一項之使用於半導體處理設備中的噴淋頭,其中該等第一通孔之數量實質上在1500與2500通孔之間。
  13. 如申請專利範圍第1至8項中任一項之使用於半導體處理設備中的噴淋頭,其中該複數第一通孔係排列為三角形排列在該面板上,且該等第二通孔係排列為六邊形排列在該阻流板片上。
  14. 一種半導體處理站,該半導體處理站包括如申請專利範圍第1項之噴淋頭。
  15. 如申請專利範圍第14項之半導體處理站,更包含:一控制器,配置有用以執行以下操作之指令:提供一基板至該半導體處理站中;透過該噴淋頭將一反應物氣體引入該半導體處理站中以吸附至該基板之表面上;透過該噴淋頭將一吹淨氣體引入該半導體處理站中;及施加電漿以在該基板之該表面上由被吸附的該反應物氣體形成一薄膜層。
  16. 如申請專利範圍第15項之半導體處理站,其中該薄膜層的不均勻性實質上小於0.5%。
  17. 如申請專利範圍第16項之半導體處理站,其中該不均勻性係從與引入該反應物氣體、引入該吹淨氣體、及施加該電漿其中一或多者相關聯的一或更多處理參數脫鉤的(decoupled)。
  18. 如申請專利範圍第15至17項中任一項之半導體處理站,其中在一原子層沉積(ALD)循環中形成該薄膜層係實質上在小於1.5秒內完成。
  19. 一種半導體處理工具,該半導體處理工具包括如申請專利範圍第15-17項中任一項之半導體處理站。
  20. 如申請專利範圍第19項之半導體處理工具,其中該半導體處理工具包括一步進機。
TW104114093A 2014-05-05 2015-05-04 使用於半導體處理設備中的噴淋頭、半導體處理站及半導體處理工具 TWI681820B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461988834P 2014-05-05 2014-05-05
US61/988,834 2014-05-05
US14/668,511 US10741365B2 (en) 2014-05-05 2015-03-25 Low volume showerhead with porous baffle
US14/668,511 2015-03-25

Publications (2)

Publication Number Publication Date
TW201607614A TW201607614A (zh) 2016-03-01
TWI681820B true TWI681820B (zh) 2020-01-11

Family

ID=54354842

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104114093A TWI681820B (zh) 2014-05-05 2015-05-04 使用於半導體處理設備中的噴淋頭、半導體處理站及半導體處理工具

Country Status (6)

Country Link
US (1) US10741365B2 (zh)
JP (1) JP6799902B2 (zh)
KR (4) KR102333103B1 (zh)
CN (1) CN105088189B (zh)
SG (1) SG10201503253QA (zh)
TW (1) TWI681820B (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10480070B2 (en) * 2016-05-12 2019-11-19 Versum Materials Us, Llc Delivery container with flow distributor
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
TWI738920B (zh) * 2016-11-14 2021-09-11 日商東京威力科創股份有限公司 半導體製造方法及相關裝置與電漿處理系統
JP2019054189A (ja) 2017-09-19 2019-04-04 東芝メモリ株式会社 成膜装置および成膜方法
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
TWI812475B (zh) * 2018-09-29 2023-08-11 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
US11183404B2 (en) * 2018-10-31 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Diffuser and semiconductor processing system using same
KR20210126130A (ko) 2019-03-08 2021-10-19 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버를 위한 다공성 샤워헤드
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
CN113802113A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种改善反应过程中反射功率稳定性的等离子体发生装置
KR102275757B1 (ko) * 2020-08-24 2021-07-09 피에스케이 주식회사 기판 처리 장치
TW202230442A (zh) * 2020-10-09 2022-08-01 美商蘭姆研究公司 無面板噴淋頭
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center
KR102606837B1 (ko) * 2021-11-02 2023-11-29 피에스케이 주식회사 상부 전극 유닛, 그리고 이를 포함하는 기판 처리 장치

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1802722A (zh) * 2003-05-22 2006-07-12 艾克塞利斯技术公司 等离子体灰化装置和终点检测方法
CN101448977A (zh) * 2005-11-04 2009-06-03 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺

Family Cites Families (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (zh) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) * 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5186756A (en) * 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5106453A (en) * 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
US5286519A (en) * 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
ATE181969T1 (de) * 1994-03-29 1999-07-15 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
US5468298A (en) * 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) * 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6170894B1 (en) * 1999-06-10 2001-01-09 Rhonda Baker Glass pane lifter apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6205869B1 (en) * 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US20020134507A1 (en) * 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
EP1240366B1 (en) * 1999-12-22 2003-07-09 Aixtron AG Chemical vapor deposition reactor and process chamber for said reactor
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
KR101004222B1 (ko) 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US20030047282A1 (en) 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7250083B2 (en) * 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
JP2003271218A (ja) 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
CN101053063B (zh) * 2004-09-01 2012-10-03 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) * 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (ja) * 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
WO2008045972A2 (en) * 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8128750B2 (en) * 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8568555B2 (en) * 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20090136652A1 (en) 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
USD593640S1 (en) * 2008-01-31 2009-06-02 Hansgrohe Ag Showerhead
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) * 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (ko) * 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TWI563582B (en) * 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
KR101430744B1 (ko) * 2010-06-21 2014-08-18 세메스 주식회사 박막 증착 장치
KR101100284B1 (ko) * 2010-06-21 2011-12-30 세메스 주식회사 박막 증착 장치
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US20120227665A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
CN102953050B (zh) * 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
JP6136613B2 (ja) 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9314854B2 (en) * 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) * 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) * 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10022689B2 (en) * 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1802722A (zh) * 2003-05-22 2006-07-12 艾克塞利斯技术公司 等离子体灰化装置和终点检测方法
CN101448977A (zh) * 2005-11-04 2009-06-03 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺

Also Published As

Publication number Publication date
TW201607614A (zh) 2016-03-01
CN105088189B (zh) 2019-03-15
US20150315706A1 (en) 2015-11-05
CN105088189A (zh) 2015-11-25
KR102333103B1 (ko) 2021-11-29
KR20210145114A (ko) 2021-12-01
KR20230136584A (ko) 2023-09-26
SG10201503253QA (en) 2015-12-30
JP6799902B2 (ja) 2020-12-16
KR20150126789A (ko) 2015-11-13
KR20230133257A (ko) 2023-09-19
JP2016036011A (ja) 2016-03-17
US10741365B2 (en) 2020-08-11

Similar Documents

Publication Publication Date Title
TWI681820B (zh) 使用於半導體處理設備中的噴淋頭、半導體處理站及半導體處理工具
TWI713525B (zh) 用以達成改良的流動均勻性之具有面板孔的小體積噴淋頭
KR102612832B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
US10176984B2 (en) Selective deposition of silicon oxide
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US20210395885A1 (en) Throughput improvement with interval conditioning purging
WO2023069924A1 (en) Valve manifold for semiconductor processing
KR20200067218A (ko) 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들