TWI713525B - 用以達成改良的流動均勻性之具有面板孔的小體積噴淋頭 - Google Patents
用以達成改良的流動均勻性之具有面板孔的小體積噴淋頭 Download PDFInfo
- Publication number
- TWI713525B TWI713525B TW105115413A TW105115413A TWI713525B TW I713525 B TWI713525 B TW I713525B TW 105115413 A TW105115413 A TW 105115413A TW 105115413 A TW105115413 A TW 105115413A TW I713525 B TWI713525 B TW I713525B
- Authority
- TW
- Taiwan
- Prior art keywords
- panel
- volume
- shower head
- diameter
- holes
- Prior art date
Links
- 238000012545 processing Methods 0.000 claims abstract description 200
- 239000000758 substrate Substances 0.000 claims abstract description 163
- 239000004065 semiconductor Substances 0.000 claims abstract description 104
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 54
- 238000004891 communication Methods 0.000 claims abstract description 13
- 239000010408 film Substances 0.000 claims description 106
- 239000000376 reactant Substances 0.000 claims description 37
- 238000010926 purge Methods 0.000 claims description 25
- 239000010409 thin film Substances 0.000 claims description 19
- 239000012530 fluid Substances 0.000 claims description 13
- 239000007921 spray Substances 0.000 claims description 7
- 230000015572 biosynthetic process Effects 0.000 claims 1
- 239000007789 gas Substances 0.000 description 156
- 238000000034 method Methods 0.000 description 59
- 230000008569 process Effects 0.000 description 56
- 235000012431 wafers Nutrition 0.000 description 24
- 238000006243 chemical reaction Methods 0.000 description 21
- 238000000151 deposition Methods 0.000 description 19
- 230000008021 deposition Effects 0.000 description 17
- 238000007664 blowing Methods 0.000 description 16
- 238000009826 distribution Methods 0.000 description 13
- 238000001312 dry etching Methods 0.000 description 12
- 239000002243 precursor Substances 0.000 description 12
- 238000001039 wet etching Methods 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 10
- 238000012546 transfer Methods 0.000 description 9
- 230000007704 transition Effects 0.000 description 9
- 230000000694 effects Effects 0.000 description 8
- 239000000463 material Substances 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 150000003254 radicals Chemical class 0.000 description 8
- 239000013598 vector Substances 0.000 description 8
- 239000003795 chemical substances by application Substances 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 6
- 238000011068 loading method Methods 0.000 description 6
- 239000012808 vapor phase Substances 0.000 description 6
- 238000010586 diagram Methods 0.000 description 5
- 230000005684 electric field Effects 0.000 description 5
- 238000011282 treatment Methods 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 230000007935 neutral effect Effects 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 239000007787 solid Substances 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 230000008901 benefit Effects 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 239000003153 chemical reaction reagent Substances 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 239000012141 concentrate Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- -1 fluorine radicals Chemical class 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 239000012071 phase Substances 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000011148 porous material Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 238000006557 surface reaction Methods 0.000 description 3
- 230000001052 transient effect Effects 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- 238000005273 aeration Methods 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000000903 blocking effect Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 238000005507 spraying Methods 0.000 description 2
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 230000007717 exclusion Effects 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 238000007429 general method Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 239000003446 ligand Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 239000012925 reference material Substances 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 238000009738 saturating Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45587—Mechanical means for changing the gas flow
- C23C16/45591—Fixed means, e.g. wings, baffles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- Crystallography & Structural Chemistry (AREA)
- Plasma Technology (AREA)
- Formation Of Insulating Films (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Abstract
在半導體處理設備中的噴淋頭可包括面板通孔,配置以改良原子層沉積期間的流動均勻性。該噴淋頭可包括一面板,其具有用以將氣體配送至基板上的複數通孔,其中該面板包括小直徑的通孔。例如,各個通孔的直徑可小於約0.4英吋。除此之外或替代地,該噴淋頭可包括沿著一環圓周向地設置的邊緣通孔,該環具有大於正在處理的基板的直徑的直徑。該噴淋頭可為小體積噴淋頭,且可包括設置在一或多個氣體入口附近的一阻流板,而該一或多個氣體入口與噴淋頭的充氣部體積流體連通。具有小直徑通孔及/或邊緣通孔的面板,可改良整體薄膜不均勻性、改良基板邊緣處的方位角薄膜不均勻性、並能夠在較高RF功率下操作。
Description
本發明大致上係涉及用以在半導體處理設備中配送氣體之噴淋頭。本發明的某些態樣係關於用以在原子層沉積處理中配送氣體的小體積噴淋頭,該小體積噴淋頭具有多孔阻流板、位於面板中的小直徑通孔、及/或位於面板中的其他邊緣通孔。
半導體處理工具經常包括設計用來以在整個半導體基板或晶圓上相對均勻的方式配送處理氣體之元件。這樣的元件在業界普遍稱為「噴淋頭」。噴淋頭一般包括面向某種充氣部體積之面板。該面板可包括複數通孔,該複數通孔使得充氣部體積中的氣體得以流動穿過面板並進入基板與面板之間(或支撐晶圓的晶圓支撐部與面板之間)的反應空間。通孔一般佈置成使得整個晶圓上的氣體配送可導致實質上均勻的基板處理。
本發明係關於使用於半導體處理設備中的一噴淋頭。該噴淋頭包含一充氣部體積,具有一第一表面及與該第一表面相對的一第二表面,該第一表面及該第二表面至少部分地界定了該噴淋頭的該充氣部體積。該噴淋頭亦包括:與該充氣部體積流體連通的一或更多氣體入口;包括複數面板通孔的一面板;以及設置在該一或更多氣體入口附近的一阻流板。該複數面板通孔從該面
板的一第一側延伸至一第二側,其中該面板的該第一側界定了該充氣部體積的該第一表面,且其中各個面板通孔具有小於約0.04英吋的直徑。
在一些實行例中,該阻流板包括複數阻流板通孔。該阻流板之孔隙率介於約5%與約25%之間。在一些實行例中,該阻流板係設置在該充氣部體積與該一或更多氣體入口之間的一區域中。在一些實行例中,各個面板通孔的直徑介於約0.01英吋與約0.03英吋之間。在一些實行例中,該面板通孔之直徑經配置以提高從該面板流出的氣體流量的空間均勻性。在一些實行例中,該面板通孔之直徑經配置以減少電漿從該面板之外進入該充氣部體積的回流。
本發明亦關於一半導體處理站,該半導體處理站包括上述之噴淋頭。該半導體處理站包含一控制器,其具有用以執行以下操作之指令:提供一基板至該半導體處理站中;透過該噴淋頭將反應物氣體引入該半導體處理站中以吸附至該基板之表面上;透過該噴淋頭將吹淨氣體引入該半導體處理站中;並施加電漿以在該基板之該表面上由被吸附的該反應物氣體形成一薄膜層。在一些實行例中,該電漿係在大於約500W的功率下施加,且該薄膜層的薄膜不均勻性小於約0.5%。在一些實行例中,該薄膜層的薄膜不均勻性小於約0.3%。
本發明亦關於使用於半導體處理設備中的一噴淋頭,其中該噴淋頭包含:一充氣部體積,具有一第一表面及與該第一表面相對的一第二表面,該第一表面及該第二表面至少部分地界定了該噴淋頭的該充氣部體積。該噴淋頭亦包括與該充氣部體積流體連通的一或更多氣體入口;包括複數面板通孔的一面板;及設置在該一或更多氣體入口附近的一阻流板。該複數面板通孔從該面板的一第一側延伸至一第二側,其中該面板的該第一側界定了該充氣部體積的該第一表面,其中該複數面板通孔包括中央通孔與圍繞該中央通孔的邊緣通
孔,該邊緣通孔以大於一基板(該噴淋頭經配置以用於該基板)之直徑的直徑圓周向地設置在該面板的該第二側。
在一些實行例中,該邊緣通孔從該面板的該第一側到該第二側傾斜小於約90度的角度。在一些實行例中,該邊緣通孔沿著一第一環以及圍繞該第一環的一第二環圓周向地設置在該面板的該第二側。在一些實行例中,該第一環具有大於約300mm的直徑,且該第二環具有大於約310mm的直徑。在一些實行例中,該邊緣通孔從該面板的該第一側到該第二側傾斜小於約75度的角度。在一些實行例中,該阻流板係設置在該充氣部體積與該一或更多氣體入口之間的一區域中,且該阻流板包括複數阻流板通孔。在一些實行例中,各個面板通孔的直徑小於約0.04英吋。
本發明亦關於一半導體處理站,該半導體處理站包括上述之噴淋頭。該半導體處理站包含:一控制器,配置有用以執行以下操作之指令:提供一基板至該半導體處理站中;透過該噴淋頭將反應物氣體引入該半導體處理站中以吸附至該基板之表面上;透過該噴淋頭將吹淨氣體引入該半導體處理站中;並施加電漿以在該基板之該表面上由被吸附的該反應物氣體形成一薄膜層。
100:噴淋頭
102:背板
104:面板
110:阻流板
120:氣體入口
122:柄部
124:窄管
126:闊管
130:充氣部體積
132:通孔
200:小體積噴淋頭
202:背板
204:面板
210:多孔阻流板
212:通孔
220:氣體入口
222:柄部
224:窄管
230:充氣部體積
232:通孔
235:區域
400a:習知噴淋頭
400b:小體積噴淋頭
402a:背板
402b:背板
404a:面板
404b:面板
410a:阻流板
410b:阻流板
422a:柄部
422b:柄部
424a:窄管
424b:窄管
426a:闊管
430a:充氣部體積
430b:充氣部體積
435b:區域
512:通孔
532:通孔
552:通孔
550:六角形排列
560:三角形排列
604a:面板
604b:面板
610a:阻流板
610b:阻流板
612b:通孔
620a:氣體入口
620b:氣體入口
632a:通孔
632b:通孔
640a:流動向量
640b:流動向量
700a:習知噴淋頭
700b:小體積噴淋頭
702a:背板
702b:背板
710a:阻流板
710b:阻流板
712b:通孔
714a:內部支撐柱
714b:內部支撐柱
720a:氣體入口
720b:氣體入口
735b:過渡區域
1004:面板
1032:通孔
1034:通孔
1036:邊界記號
1104:面板
1132:通孔
1202:背板
1204:面板
1230:充氣部
1232:通孔
1281:離子與電子
1282:自由基
1291:鞘
1292:電漿
1301:曲線
1302:曲線
1303:曲線
1304:曲線
1404:面板
1430:充氣部體積
1432:通孔
1437:環
1438:通孔
1454:面板
1464:面板
1464a:第一側
1464b:第二側
1482:通孔
1487a:環
1487b:環
1488:通孔
1488a:通孔
1488b:通孔
1492:通孔
1498:通孔
1500:噴淋頭
1502:背板
1504:面板
1510:阻流板
1520:氣體入口
1522:柄部
1524:管部
1530:充氣部體積
1532:通孔
1554:面板
1580:充氣部體積
1584:通孔
1586:通孔
1600:處理工具
1602:入站負載鎖室
1604:出站負載鎖室
1606:機械臂
1608:基板盒
1610:氣壓埠
1612:底座
1614:處理腔室
1616:腔室傳輸埠
1618:支座
1650:控制器
1652:處理器
1654:大量儲存裝置
1656:記憶裝置
1658:系統控制軟體
1690:基板搬運系統
圖1顯示具有阻流板之例示性噴淋頭的等角剖面圖。
圖2顯示具有多孔阻流板之例示性小體積噴淋頭的等角剖面圖。
圖3顯示圖2之小體積噴淋頭中的多孔阻流板的放大等角剖面圖。
圖4顯示二個例示性噴淋頭之側面剖面視圖的並排比較。
圖5顯示小體積噴淋頭中的多孔阻流板及面板的通孔之排列的例示性佈局。
圖6A顯示例示性噴淋頭的部分之側面剖面視圖,該例示性噴淋頭包括一阻流板,其中箭頭指出了該噴淋頭內的標稱氣體流動方向。
圖6B顯示例示性小體積噴淋頭的部分之側面剖面視圖,該例示性小體積噴淋頭包括一多孔阻流板,其中箭頭指出了小體積噴淋頭內的標稱氣體流動方向。
圖7A顯示噴淋頭中之例示性阻流板的等角視圖。
圖7B顯示噴淋頭中之例示性阻流板的等角視圖,該例示性阻流板包括複數通孔。
圖8顯示一曲線圖,該曲線圖將來自噴淋頭面板之氣體的軸向流動速率描繪為面板之徑向尺寸的函數。
圖9顯示一曲線圖,該曲線圖描繪二個噴淋頭之原子層沉積的不均勻性之百分比。
圖10顯示具有複數面板通孔的例示性面板的底部視圖。
圖11顯示具有複數小直徑面板通孔的例示性面板的底部視圖。
圖12顯示限制自由基回流的面板通孔的剖面示意圖
圖13A顯示一曲線圖,該曲線圖將來自面板之氣體的軸向流動速率描繪為面板之徑向距離的函數,而面板具有漸小的通孔直徑。
圖13B顯示一曲線圖,其描繪帶有0.04英吋直徑的面板通孔的小體積噴淋頭、及帶有0.02英吋直徑的面板通孔的小體積噴淋頭的薄膜不均勻性。
圖14A顯示具有複數中央通孔與複數邊緣通孔的例示性面板的底部視圖。
圖14B顯示具有複數中央通孔、沿著第一環的複數邊緣通孔、及沿著第二環的複數邊緣通孔的例示性面板的底部視圖。
圖14C顯示例示性面板的放大等角剖面圖,該面板具有中央通孔與以一角度傾斜的一或多個邊緣通孔。
圖15A顯示側面剖面視圖,其將具有中央通孔的例示性面板及具有中央與邊緣通孔的例示性面板進行比較。
圖15B顯示側面剖面視圖之放大部分,其將圖15A的二個例示性面板進行比較。
圖15C顯示等角剖面視圖之放大部分,其將圖15A的二個例示性面板進行比較。
圖16顯示一多站處理工具的示意圖,該多站處理工具可包括小體積噴淋頭。
為提供本發明概念的全面性的認識,將於下列實施方式中闡述多個具體細節。毋須一些或全部之該等具體細節即可實施本發明概念。在其他例子中,為了避免不必要地混淆所描述的概念,熟知的處理作業將不再贅述。雖然某些概念將聯合具體實施例一起描述,但應知悉其本意非限制這些實施例。
在本案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分已製成積體電路」等用語可互換地使用。本技術領域中具有一般技藝者應知悉的係,「部分已製成積體電路」這個用語係指涉在其上的許多積體電路製程階段中之任一期間的矽晶圓。使用於半導體裝置產業的晶圓或基板典型地具有200mm、或300mm、或450mm的直徑。接下來的實施方式假設本發明係在一晶圓上實施。然而,本發明並非這般限制性。工作件可具有各種形狀、尺寸、以及材料。除了半導體晶圓,其他可受益於本發明的工作件包括各種物
件,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、反射鏡、光學元件、微機械裝置、以及其他相似物。
在本發明中的一些圖式及討論中可能採用了一些慣例用法。舉例而言,在許多地方提到了「體積」,例如「充氣部體積」。這些體積一般可能標示在許多的圖中,但應理解的係,圖式及隨附的元件符號僅代表了這樣的體積之近似表示,實際的體積可能延伸至例如界定了該體積之邊界的不同實心表面。各樣的較小體積(例如,通過原本會是實心之充氣部體積邊界表面的氣體入口或其它的孔)可流體連接至充氣部體積。
應理解的係,例如「在...上方」、「在...頂部上」、「在...下方」、「在...下面」等的相對性的術語之使用,應被理解為意指複數元件的空間關係(關於在噴淋頭的正常使用期間內這些元件之方位)。換言之,可對噴淋頭進行定向以於基板處理操作期間內向下往基板配送氣體。
引言
在半導體處理中所使用的各樣沉積技術中,一特定的沉積技術可包括原子層沉積(ALD)。相對於使用熱活化氣相反應來沉積薄膜的化學氣相沉積(CVD)處理,ALD處理使用表面媒介沉積反應而以逐層的方式來沉積薄膜。在一例示性ALD處理中,將包括了表面活性位置之群體的基板表面暴露於第一薄膜前驅物(P1)的氣相配送。P1的一些分子可在基板表面之上形成凝態,其中包括了P1的化學吸附物種及物理吸附分子。接著將反應器抽空以移除氣相及物理吸附的P1,使得僅有化學吸附物種留下。接著將第二薄膜前驅物(P2)引入至反應器中,使得P2的一些分子吸附至基板表面。可再次將反應器抽空,這一次是為了移除未結合的P2。隨後,提供至基板的能量使得在P1與P2的被吸附分子
之間的表面反應活化,從而形成一薄膜層。最後,將反應器抽空以移除反應副產物及可能的未反應P1及P2,而結束ALD循環。可包括額外的ALD循環以積累薄膜厚度。
依據前驅物用劑步驟的暴露時間及前驅物的粘著係數,在一範例中,每一ALD循環可沉積介於二分之一與三埃厚的一薄膜層。每一ALD循環可歷時約5秒或更少、約3秒或更少、或約2秒或更少。
保型薄膜沉積(CFD)為類似於ALD技術的沉積技術,其中於複數循環期間內執行沉積,每一循環使用小量的反應物或前驅物。一般而言,用以產生CFD膜的表面反應係藉由使表面吸附的反應物暴露於電漿、紫外線輻射、或相似來源而活化。在某些情況下,一反應物於沉積處理期間內持續流動,該沉積處理可包括CFD的好幾個循環。不像ALD處理,許多CFD處理可容許二或更多反應物以汽相共存於腔室中。在CFD中,ALD處理中所描述的一或更多處理步驟可在一例示性CFD處理中被縮短或除去。在2011年4月11日申請的美國專利申請案第13/084399號中描述了使用CFD來形成薄膜的方法,該案為所有目的加入本案之參考資料。為了便於理解,以下提供CFD的簡短描述。
大致上,一CFD循環為表面沉積反應可執行的最小操作組。一循環的結果為在基板表面上產生至少一部分的薄膜層。一般而言,一CFD循環僅會包括用以將每一反應物輸送並吸附至基板表面、及接著使那些吸附反應物反應以形成部分薄膜層所需要的那些步驟。當然,循環可包括某些輔助步驟,例如清除反應物或副產物其中的一或更多者、及/或於沉積時對部分膜進行處理。大致上,一循環僅包含一獨特操作順序例。作為範例,一循環可包括以下操作:(i)輸送/吸附反應物A、(ii)輸送/吸附反應物B、(iii)使用吹淨氣體(purge gas)將
B清除出反應腔室、及(iv)施加電漿來驅動A及B的表面反應以在表面上形成部分薄膜層。在一些實行例中,可將這些步驟之特性描述為用劑步驟、吹淨步驟、及電漿步驟。在一些實行例中,循環中可包括一電漿後吹淨步驟以進一步吹淨。
一些實行例可使用不同的處理順序。一可能的處理包括了以下的操作序列:(1)持續流動輔助反應物、(2)提供含矽或其他主要反應物之用劑、(3)吹淨1、(4)將基板暴露於RF電漿、(5)吹淨2。另一替代處理包括了以下的操作序列:(1)持續流動惰性氣體、(2)提供含矽或其他主要反應物之用劑、(3)吹淨1、(4)將基板暴露於RF電漿,同時提供氧化劑或其它輔助反應物之用劑、(5)吹淨2。
大致上,「清除」或「吹淨」階段的概念將汽相反應物其中一者從反應腔室中移除或吹淨、且一般僅在這樣的反應物之輸送完成之後才發生。換言之,在吹淨階段期間內該反應物不再被輸送至反應腔室中。然而,在吹淨階段期間內該反應物保持吸附在基板表面上。一般而言,吹淨係用以在反應物被吸附至基板表面上達期望程度之後移除腔室中任何殘留的汽相反應物。吹淨階段亦可將弱吸附的物種(例如,某些前驅物配位基或反應副產物)從基板表面移除。
在半導體處理設備中,噴淋頭經常用於以期望的方式(例如以均勻配送的方式)將處理氣體配送至整個半導體基板。噴淋頭一般包括充氣部,該充氣部的邊界被一面板所界定,該面板具有通向噴淋頭的外部的複數氣體配送孔。面板一般面向半導體處理腔室或反應腔室中的基板反應區域,且基板在半導體處理腔室中通常放在面板下方,例如於面板下方的位置支撐著晶圓的晶圓支持件或支座上。
在ALD中,薄膜以自限制(self-limiting)及自飽和(self-saturating)的方式在基板表面上生長。換言之,前驅物被輸送並以自限制的方式與表面反應,使得一旦表面上的所有反應位置被消耗,則反應終止。這意味著,ALD處理中的特定步驟已達到飽和。一般而言,當達到完全飽和時,薄膜均勻性不會是問題。然而,許多ALD處理以更節約的方式操作,並期望一定的產量門檻。因此,為了達到期望產量,在ALD中並非所有的步驟均達到完全飽和,所以完全飽和在ALD處理中可能是因產量而難以達成的(throughput-prohibitive)。舉例而言,為了達到期望產量,ALD處理可達到介於約70%與約99%的飽和度。如本文中所使用的ALD處理可包括CFD處理,且其為可互換使用的。
因此,可以薄膜均勻性的代價來達到更高的產量,且可以產量的代價來達到更大的薄膜均勻性。然而,本發明的噴淋頭可設計來改良薄膜均勻性及產量。在一些實行例中,噴淋頭可設計來幫助ALD處理中的處理或吹淨氣體之輸送。在ALD處理中,改良汽相反應物輸送之流動均勻性可改良所沉積之薄膜的均勻性(尤其是在用劑及電漿步驟期間內)。此外,對於吹淨時間的改良可改良吹淨步驟的效率,從而增加了ALD處理的產量。
可藉由減少噴淋頭的體積而獲得可提高產量的噴淋頭。可將充氣部體積及柄部體積減少或最小化以減少在吹淨步驟期間內完成前驅物之吹淨的吹淨時間。減少的體積增加了背壓,使得吹淨氣體可快速且有效地輸送至反應腔室中。然而,減少噴淋頭的體積一般可能危害到沉積薄膜的薄膜均勻性。在小體積噴淋頭中獲得在整個噴淋頭面板上空間均勻之流動可能係困難的。在整個面板上的空間不均勻之流動可能導致在正進行沉積之薄膜中的厚度不均勻性。如上所述,在一些ALD處理中,ALD處理中的沉積循環可能是短的、且可能不
允許達到完全飽和。因此,在整個面板上的空間不均勻之流動可能對沉積薄膜的薄膜均勻性及薄膜特性產生負面影響。
具有多孔阻流板之小體積噴淋頭
一噴淋頭可具有減少的體積而無需承受空間不均勻流動之壞處。這樣的小體積噴淋頭可包括一多孔阻流板,該多孔阻流板係位於柄部體積與充氣部體積之間的區域之凹陷中,其描述於Chandrasekharan等人於2015年3月25日申請的美國專利申請案第14/668511號,案名為「LOW VOLUME SHOWERHEAD WITH POROUS BAFFLE」,該案為所有目的以全文加入本案之參考資料。
小體積噴淋頭可意指具有約等於或小於500毫升的總體積之噴淋頭。在一些實行例中,小體積噴淋頭可具有在約50毫升與約500毫升之間的體積。習知噴淋頭可具有大於500毫升的體積(尤其在ALD應用中)。
大致上,主要的噴淋頭類型有兩種:枝型吊燈類型(chandelier type)、及嵌入安裝類型(flush mount type)。枝型吊燈類型噴淋頭具有一柄部,該柄部一端附接至腔室的頂部、且另一端附接至面板或背板。柄部的一部分可從腔室頂部突出以連接氣體管線及RF電源。嵌入安裝的噴淋頭類型係整合至腔室的頂部中、且一般不具有柄部。雖然所描繪的圖式通常是關於枝型吊燈類型噴淋頭,但應理解的係,本發明亦可適用於嵌入安裝類型噴淋頭。
圖1顯示了具有阻流板110之例示性噴淋頭100的等角剖面圖。圖1中的噴淋頭100可具有大於500毫升的體積、並包括一非多孔阻流板110。如圖1中所繪示,噴淋頭100包括了背板102及面板104,其中背板102及面板104可為分開的機械元件或被整合成為單一主體。可將背板102及面板104設置
成彼此相對。面板104可具有複數氣體配送孔或通孔132以幫助將氣體輸送至基板。可將充氣部體積130界定在背板102與面板104之間,其中充氣部體積130可具有第一表面、及與該第一表面相對的第二表面。在一些實行例中,充氣部體積130的第一表面及第二表面可具有環向表面(circumferential surface)。第一表面及第二表面可至少部分地界定噴淋頭100的充氣部體積130。面板104的第一側可界定充氣部體積130的第一表面。背板102的第二側可界定充氣部體積130的第二表面。大致上,充氣部體積130的第一表面可具有相同、或實質上相同於基板(該噴淋頭經配置以適用於該基板)之直徑的直徑。在一些實行例中,如圖1所繪示,沿著充氣部體積130的第二表面,充氣部體積130在形狀上可為大致上圓錐狀的。
可透過一或更多氣體入口120對充氣部體積130供應氣體(例如,反應物氣體或吹淨氣體)。圖1中的氣體入口120可連接至用以輸送氣體的一或複數氣體供應器。氣體入口120可包括柄部122,其中柄部122可包括連接至窄管124的闊管126。闊管126可具有大於窄管124之直徑的直徑以於到達充氣部體積130時提供更空間分佈的流動。
噴淋頭100可更包括阻流板110,該阻流板係位於充氣部體積130的凹陷中。阻流板110可為安裝在充氣部體積130中的實心或非多孔結構以引導氣體向外穿過充氣部體積130、及朝向面板104的邊緣。阻流板110可鄰近於氣體入口120。可將阻流板110安裝在離氣體入口120一定距離的地方以容許氣體在充氣部體積130內散佈。此外,在第二表面的充氣部體積130可為圓錐狀的以在氣體入口120與阻流板110之間提供更多空間。在一些實行例中,阻流板110可為圓形的、且具有大於闊管126之直徑的直徑。藉由引導氣體的流動向外穿
過充氣部體積130,可得到更大的流動均勻性。此外,阻流板110可大致位於氣體入口120的中央以避免氣體流動噴射穿過面板104的中央、或者將其最小化。
圖2顯示了具有多孔阻流板210的例示性小體積噴淋頭200的等角剖面圖。多孔阻流板210亦可稱為多孔阻流板片。圖2中的小體積噴淋頭200可具有在約50毫升與約500毫升之間的體積、並包括了多孔阻流板210。在一些實行例中,小體積噴淋頭200可具有在約100毫升與約300毫升之間的體積。小體積噴淋頭200包括了背板202及面板204,其中背板202及面板204可為分開的機械元件或被整合成為單一主體。可將背板202及面板204設置成彼此相對。在一些實行例中,背板202及面板204每一者可為圓柱狀的。面板204可具有複數通孔232以幫助將氣體輸送至基板。在一些實行例中,面板204的尺寸(例如,直徑)可經配置成對應於正在處理的基板之尺寸,其中面板204的尺寸可稍大於正在處理的基板之尺寸。例如,若於正在處理的基板之直徑約300mm,則面板204的直徑可介於約300mm與約350mm之間。通孔232可從面板204的第一側延伸穿過面板204至第二側。可將充氣部體積230界定在背板202與面板204之間,其中充氣部體積230可具有第一表面及與該第一表面相對的第二表面。在一些實行例中,充氣部體積230的第一表面及第二表面可具有環向表面。第一表面及第二表面可至少部分地界定了小體積噴淋頭200的充氣部體積230。面板204的第一側可界定充氣部體積230的第一表面。背板202的第二側可界定充氣部體積230的第二表面。在一些實行例中,如圖2中所繪示,充氣部體積230在形狀上可為圓柱狀的或大致上圓柱狀的。由於圖2中的充氣部體積230相較圖1中的充氣部體積130具有減小的體積,因此這可減少噴淋頭的總內部體積。
可透過一或更多氣體入口220而對充氣部體積230供應氣體(例如反應物氣體或吹淨氣體)。圖2中的氣體入口220可連接至用以輸送氣體的一或複數氣體供應器。氣體入口220可包括柄部222,其中柄部222可包括窄管224。柄部222可與充氣部體積230流體連通。在一些實行例中,柄部222的體積可在約1毫升與約50毫升之間。由於圖2中的窄管224相較圖1中的闊管126具有較小的直徑,因此將窄管224設置做為整個柄部222亦可減少噴淋頭的總內部體積。
小體積噴淋頭200可更包括一多孔阻流板210,該多孔阻流板鄰近於氣體入口220,例如位在充氣部體積230與氣體入口220之間的區域235中。圖3顯示了圖2的小體積噴淋頭200中的多孔阻流板210的放大等角剖面圖。在一些實行例中,多孔阻流板210可位於區域235的凹陷中,其中多孔阻流板210可安裝在離氣體入口220一定距離的地方、且在充氣部體積230的上方。雖然多孔阻流板210可設置在區域235中,但應理解在某些其它實行例中,多孔阻流板210可設置在充氣部體積230中。因此,可將多孔阻流板210安裝在離氣體入口220一距離的地方,該距離延伸穿過區域235。區域235可為背板202的凹陷空間。區域235為在氣體入口220與充氣部體積230之間的氣體流動提供了一過渡區域。在一些實行例中,區域235可位於背板202的第二側的凹陷中,其中背板202的第二側界定了充氣部體積230的第二表面。在一些實行例中,柄部222、區域235、及充氣部體積230其中每一者界定了一圓柱狀體積,其中充氣部體積230的直徑大於區域235的直徑,且區域235的直徑大於柄部222的直徑。
雖然應理解,可將多孔阻流板210之特性描述為設置在充氣部體積230與氣體入口220之間的區域235中,但所屬技術領域中具有通常知識者應
理解,可將區域235視為氣體入口220的一部分、且多孔阻流板210可設置在氣體入口220中。然而,多孔阻流板210可具有允許氣體流動穿過的孔隙率,而不會於設置在氣體入口220中時阻擋氣體的流動。
阻流板210可為選擇性地多孔的,其中阻流板210的孔隙率可在約5%與約25%之間。在某些實行例中,阻流板210可包括或者由多孔材料所製成。多孔材料之範例可包括多孔鋁、多孔氧化鋁、及多孔石英。阻流板210可由任何合適的材料製成(包括但不限於鋁、氧化鋁、石英、及不銹鋼)。材料可與遠距清潔相容、且可為鈍化或不容易與氨/氟自由基反應之材料。在某些實行例中,阻流板210可包括延伸穿過阻流板210的複數通孔212。可透過阻流板210之材料來提供通孔212以有效地模擬及模仿孔隙率。在一些實行例中,阻流板210可為圓形的,且具有大於柄部222之直徑的直徑。然而,在一些實行例中,阻流板210實質上小於面板204。例如,面板204之直徑為阻流板210之直徑的至少四倍、或為阻流板210之直徑的至少十倍。另外,阻流板210可具有小於區域235之直徑的直徑。因此,氣體流動可不僅被引導通過通孔212,而是亦被引導向外穿過充氣部體積230而朝向面板204的邊緣。藉由將氣體之流動引導通過通孔212並向外穿過充氣部體積230,雖然降低了噴淋頭200的總內部體積(相較於圖1中的噴淋頭100),但可得到更空間均勻的氣體流動。此外,阻流板210可大致位於氣體入口220的中央,俾使阻流板210的位置與阻流板210的孔隙率可將氣體噴射通過面板204之中央的效應最小化。在一些實行例中,阻流板210可大致平行於充氣部體積230的第一表面及第二表面。
圖4顯示了二範例噴淋頭400a及400b之側面剖面視圖的並排比較。習知噴淋頭400a顯示在左側,而本發明的小體積噴淋頭400b顯示在右側。
習知噴淋頭400a可對應至圖1中的噴淋頭100,而小體積噴淋頭400b可對應至圖2中的小體積噴淋頭200。
噴淋頭400a及400b每一者包括了背板402、及與背板402相對之面板404。習知噴淋頭400a的背板402a及面板404a至少部分地界定了充氣部體積430a,其中充氣部體積430a包括了圓柱狀部分及在圓柱狀部分上方的圓錐狀部分。小體積噴淋頭400b的背板402b及面板404b至少部分地界定了充氣部體積430b,其中充氣部體積430b包括圓柱狀部分。噴淋頭400a及400b每一者亦包括了柄部422a或422b,氣體通過該柄部而輸送至充氣部體積430a或430b。習知噴淋頭400a中的柄部422a包括了窄管424a及闊管426a,小體積噴淋頭400b中的柄部422b包括了窄管424b。因此,習知噴淋頭400a可具有比小體積噴淋頭400b之體積大得多的體積(由於較大的柄部直徑及較大的充氣部高度)。習知噴淋頭400a中的較大體積可在充氣部體積430a中的氣體流動上導致再循環區,而再循環區可導致流動均勻度偏差。習知噴淋頭400a中的體積較大亦可導致更長的吹淨時間及增加的過渡時間,從而導致了減小的產量。
此外,噴淋頭400a、400b包括阻流板410a、410b,其中習知噴淋頭400a包括了大的、非多孔的阻流板410a,小體積噴淋頭400b包括了小的、多孔的阻流板410b。在一些實行例中,小的、多孔的阻流板410b位於充氣部體積430b與柄部422b之間的區域435b的凹陷中。在一些實行例中,區域435b可構成柄部422b的延伸,其中區域435b具有較窄管424b更大的直徑。在這樣的實行例中,可將小的、多孔的阻流板410b視為在柄部422b中。在一些實行例中,區域435b可做為擴散器,其中擴散器在形狀上可為圓錐狀或圓柱狀的。相較於大的、非多孔的阻流板410a,小的、多孔的阻流板410b可增加通過面板404
中央的流量。在一些實行例中,小的、多孔的阻流板410b中之孔的數量與配置可提供通過面板404b之氣體更空間均勻的流動。在一些實行例中,面板404b中孔的數量與配置亦可影響通過面板404b之氣體流動的空間均勻性。例如,減少面板404b中的孔總數可增加跨越面板404b的壓降以將氣體的流動更向外推向面板404b的邊緣。
表1顯示了習知噴淋頭400a與小體積噴淋頭400b之間的特徵及值之比較。
本發明之小體積噴淋頭400b可具有小於約700毫升、或介於約50毫升至約500毫升之間、或介於約100毫升至約300毫升之間的總內部體積。在表1中,本發明的小體積噴淋頭400b將習知噴淋頭400a的總內部體積從742.7毫升降低至256.4毫升,這代表了在體積上減少了65%。習知噴淋頭400a中的充氣部高度可從0.25英吋減少至在小體積噴淋頭400b中的0.125英吋。在習知噴淋頭400a中的充氣部形狀可為大致上圓錐狀的、或至少包括一大致上圓錐狀部分及一大致上圓柱狀部分之組合。大致上圓錐狀部分的錐體散度(divergence)可為大於約90度、或大於約120度。在小體積噴淋頭400b中的充氣部形狀可為圓柱狀或大致上圓柱狀。圓柱狀充氣部體積的直徑可對應到或實質上對應到正在處理的基板之尺寸。例如,若正在處理的基板之尺寸為200mm、300mm、或450mm,則充氣部體積的尺寸可分別地約為200mm、300mm、或450mm。習知噴淋頭400a中的柄部直徑可從1.21英吋的直徑減少至在小體積噴淋頭400b中約0.125英吋及更高的直徑。在一些實行例中,這可減少吹淨時間並改良半導體應用(例如ALD應用)中的產量。在一些實行例中,小體積噴淋頭400b中的柄部直徑可在轉變區435b中從較小的直徑轉變成較大的直徑,其中較大的直徑可為約1.21英吋或更少。
在一些實行例中,面板404a、404b中的通孔數量可影響在整個面板404a、404b上的流動均勻性。當噴淋頭的內部體積減小,提供在整個面板上的更均勻流動分佈可能需要增加充氣部體積與處理腔室之間的壓降。通常,氣體沿著最小阻力的路徑流動,因此,若小體積噴淋頭400b中的面板404b具有低的壓降,則氣體的流動將噴射通過面板404b的中央。然而,較高的壓降會將氣體的流動更向外朝向面板404b的邊緣推動。為了促成更高的壓降,面板404b中的通孔數目可能隨著從習知噴淋頭400a至小體積噴淋頭400a所減少的內部體積而減少。否則,若面板404b中存在著過量的通孔,則壓降可能太低且在整個面板404b上從中央至邊緣的流量不會是均勻的。在一些實行例中,在小體積噴淋頭400b中的面板404b中通孔的數目可為在約1000通孔與約3000通孔之間、或在約1500通孔與約2500通孔之間。例如,在表1中,可從習知噴淋頭400a中的3292通孔減少至小體積噴淋頭400b中的2257通孔。
對於通過小體積噴淋頭400b的一給定氣體流率而言,面板404b中的通孔數量可達成特定的壓降,並藉此提供了在整個面板404上之特定流動分佈。若氣體的流率低,則會需要較少的通孔來達成在整個面板404b上期望之流動均勻性。
在一些實行例中,面板404a、404b中的通孔之排列亦可影響在整個面板404a、404b上的流動均勻性。在一些實行例中,通孔的幾何排列可為六角形的。例如,習知噴淋頭400a之面板404a可具有六角形排列之通孔。在一些實行例中,通孔的幾何排列可為三角形的。例如,小體積噴淋頭400b之面板404b可具有三角形排列的通孔。
習知噴淋頭400a可包括大的、非多孔的阻流板410a,該阻流板位於柄部422a下方的中央,以避免噴射通過面板404a中央的效應或者使其最小化。例如,大的、非多孔的阻流板410a的直徑可為2.13英吋。非多孔阻流板410a的直徑可大於習知噴淋頭400a中的闊管426a的直徑。然而,為了足夠的流動均勻性,可增加充氣部體積430a的體積以將大的、非多孔的阻流板410a容納在柄部422a的下方。可藉由充氣部體積430a的圓錐狀部分來提供增加的體積,使得氣體的流動可向外散佈。背板402a可為傾斜的背部以提供充氣部體積430a的圓錐狀部分。
相較而言,本發明的小體積噴淋頭400b可包括小的、多孔的阻流板410b,該阻流板位於柄部422b下方的中央,以避免噴射通過面板404b中央的效應或者使其最小化。在一些實行例中,小的、多孔的阻流板410b可能實質上小於大的、非多孔的阻流板410a。在一些實行例中,小的、多孔的阻流板410b可具有介於約0.1英吋與約2.0英吋的直徑。例如,小的、多孔的阻流板410b可具有0.79英吋的直徑。面板404b的直徑可能實質上大於小的、多孔的阻流板410b之直徑。例如,面板404b的直徑可為13英吋。在一些實行例中,面板404b的直徑可為小的、多孔的阻流板410b之直徑的至少四倍,或可為小的、多孔的阻流板410b之直徑的至少十倍。
一般而言,從習知噴淋頭400a至小體積噴淋頭400b的內部體積之減少產生了「體積懲罰」,其中減少的內部體積藉由降低整個面板404b上的流動均勻性而對流動均勻性產生了負面的影響。為了避免小體積噴淋頭400b中的此體積懲罰,本發明可提供小的、多孔的阻流板410b,其中該小的、多孔的阻流板410b可設置於充氣部體積430b與柄部422b之間的區域435b中。小的、
多孔的阻流板410b中可設置於充氣部體積430b的上方而不會阻塞氣體的流動。相反地,小的、多孔的阻流板410b中可設置在區域435b中用以改良流動均勻性,其中,小的、多孔的阻流板410b之直徑以及小的、多孔的阻流板410b中之通孔的大小、數量、及排列可將氣體流動引導至充氣部體積430b中,從而影響整個面板404b上的流動均勻性。另外,可對面板404b中的通孔之大小、數量、及排列進行配置以達成跨越面板404b的更高壓降、並得到期望之流動均勻性。例如,在小的、多孔的阻流板410b中的通孔之直徑可介於約0.01英吋與約0.15英吋之間,例如約0.08英吋。如圖5及圖7B中所繪示,小的、多孔的阻流板410b中可包括排列成六角形環的六個孔。相較於小的、多孔的阻流板410b的中央,該六個孔可設置得更靠近小的、多孔的阻流板410b的邊緣。面板404b中的通孔之直徑可介於約0.01英吋與約0.10英吋之間,例如約0.04英吋。面板404b可包括排列成複數三角形圖案(如圖5中所繪示)的超過2000個孔。
圖5顯示了小體積噴淋頭中的多孔阻流板的通孔512、及面板的通孔532、552之排列的範例佈局。習知噴淋頭中的面板中的通孔532可形成六角形排列550,且在小體積噴淋頭之通孔532中可加入通孔552以形成三角形排列560。多孔阻流板中的通孔512可設置於面板的通孔532上方。多孔阻流板中的通孔512之排列、及面板中的通孔532、552之排列可影響在整個面板上的流動均勻性。
圖6A顯示了例示性噴淋頭之部分的側面剖面視圖,該例示性噴淋頭包括阻流板610a,其中箭頭640a指出了該噴淋頭內的標稱氣體流動方向。圖6B顯示了例示性小體積噴淋頭之部分的側面剖面視圖,該例示性小體積噴淋頭包括多孔阻流板610b,其中箭頭640b指出了小體積噴淋頭內的標稱氣體流動
方向。來自氣體入口620a的氣體流動之流動向量640a可由圖6A中的箭頭來表示,來自氣體入口620b的氣體流動之流動向量640b可由圖6B中的箭頭來表示。阻流板610a、610b的位置、大小、及孔隙率可影響通過面板604a、604b之通孔632a、632b的流動向量640a、640b。阻流板610b中之通孔612b的大小、排列、及數目可影響通過面板604b的通孔632b之流動向量640b。在圖6A中,阻流板610a可將流動向量640a向外往面板604a的邊緣引導。然而,在圖6B中,多孔阻流板610b可將流動向量640b向外往邊緣引導、及往面板604b的中央引導,造成往面板604b中央的流量增加。在ALD應用中,這可導致在基板中央的更高用劑濃度。
圖7A顯示了習知噴淋頭700a中之例示性阻流板710a的等角視圖。習知噴淋頭700a包括了背板702a及氣體入口720a,該氣體入口通過背板702a而流體連接至習知噴淋頭700a的充氣部體積。阻流板710a可位於充氣部體積的凹陷中,其中可從背板702a的一側藉由一或更多內部支撐柱714a而安裝阻流板710a。
圖7B顯示了小體積噴淋頭700b中之例示性阻流板710b的等角視圖,該例示性阻流板包括複數通孔712b。小體積噴淋頭700b包括了背板702b及氣體入口720b,該氣體入口通過背板702b而流體連接至小體積噴淋頭700b的充氣部體積。在背板702b與氣體入口720b之間的交界上,一口袋區域或過渡區域735b被設置在充氣部體積與氣體入口720b之間。在一些實行例中,阻流板710b可位於過渡區域735b的凹陷中、或從過渡區域735b延伸,其中可從過渡區域735b藉由一或更多內部支撐柱714b而安裝阻流板710b。阻流板710b可包括複數通孔712b。在一些實行例中,相較於阻流板710b的中央,可選擇性地將
複數通孔712b排列地更朝向阻流板710b的邊緣。在一些實行例中,阻流板710b的孔隙率可介於約5%與約25%之間,例如約10%。在一些實行例中,阻流板710b可由多孔材料製成,或者阻流板710b可由實心材料所製成,該實心材料具有設置穿過其中的通孔712b。在一些實行例中,阻流板710b的通孔712b可排列成六角形圖案。
圖8顯示一曲線圖,該曲線圖將來自噴淋頭面板之氣體的軸向流動速率描繪為面板之徑向尺寸的函數。在距離噴淋頭面板1mm所測量到的軸向流動速率可反映來自噴淋頭之氣體的流動均勻性,其中以圖示描繪從面板中央到邊緣的軸向流動速率。在每分鐘5標準公升(slm)的氧氣及6托的壓力下,不具有阻流板的噴淋頭於面板中央附近展現了極快的軸向流動速率、並於面板中央的附近幾公釐內展現了極慢的軸向流動速率。若沒有阻流板,則從面板的中央至邊緣的流動均勻性係非常差的。在5slm的氧氣及6托的壓力下,具有非多孔阻流板的噴淋頭於面板中央附近展現了極慢的軸向流動速率、並於更靠近面板邊緣的地方展現了增加的軸向流動速率。若使用非多孔阻流板,則從面板的中央至邊緣的流動均勻性係不良的。若使用距離噴淋頭表面2.5mm且包括六個通孔(其中每一者直徑為0.08英吋)的多孔阻流板,則從面板的中央至邊緣的軸向流動速率係相對均勻的。該多孔阻流板之直徑可為2cm、厚度可為1mm、且六個通孔可距離中央1cm。
圖9顯示一曲線圖,該曲線圖描繪了二個噴淋頭之原子層沉積的薄膜不均勻性的百分比。可藉由取在沉積薄膜的最厚部分與最薄部分之間的差、並將該值除以該沉積薄膜厚度的平均值的兩倍來計算薄膜不均勻性:不均勻性%=(最大值-最小值)/(2*平均值)。在圖9中,習知噴淋頭可產生約0.5%的不均
勻性,而本發明的小體積噴淋頭可產生約0.2%的不均勻性。因此,藉由設計本發明的小體積噴淋頭,可顯著地改良ALD處理中的薄膜均勻性。
本發明的小體積噴淋頭可提供一硬體配置,該硬體配置可獲得薄膜均勻性而毋需藉由調整各樣的處理步驟或處理控制手段來進行補償。換言之,藉由設置以改良薄膜均勻性為目標的小體積噴淋頭,可使得薄膜均勻性從製程參數脫鉤(decoupled)。因此,像濕蝕刻速率、及乾蝕刻速率這樣的薄膜特性可與薄膜均勻性脫鉤。其他的薄膜特性可包括介電常數、折射率、濕蝕刻速率、乾蝕刻速率、光學特性、孔隙率、密度、組成、硬度及模量、阻劑剝離及灰化速率、化學機械平坦化的移除率等。
一般而言,可藉由調整各樣的製程參數而獲得期望程度的薄膜均勻性。在一些實行例中,可調諧製程參數(例如流率、用劑時間、吹淨時間、射頻(RF)功率、RF開啟時間(RF on time)、及其它製程參數)以達成期望的薄膜均勻性。舉例而言,可藉由增加每一ALD循環的處理時間來提供更大的飽和度而改良薄膜均勻性。然而,產量將會減少。在另一範例中,可藉由流動更多前驅物(例如,用劑增加)而改良薄膜均勻性。然而,增加前驅物用劑可能導致增加的化學成本、對薄膜的化學計量的負面影響、及對於薄膜特性(例如,濕蝕刻速率及乾蝕刻速率)之不樂見的改變。因此,用以獲得期望程度的薄膜均勻性的一般手段可能對產量及薄膜特性產生不樂見的衝擊。
表2對本發明的小體積噴淋頭與用劑增加、RF功率、及RF開啟時間的製程參數進行薄膜均勻性(中央厚度)及薄膜特性(濕蝕刻速率及乾蝕刻速率)方面的比較。
如表2所示,本發明的小體積噴淋頭增加了沉積薄膜的中央厚度,而沒有影響到沉積薄膜的濕蝕刻速率及乾蝕刻速率。然而,調整製程參數(例如,用劑程度、RF功率、及RF開啟時間)並未使薄膜均勻性與薄膜特性脫鉤。增加用劑增加了沉積薄膜的濕蝕刻速率及乾蝕刻速率。減少RF功率減少了沉積薄膜的乾蝕刻速率,且減少RF開啟時間減少了沉積薄膜的濕蝕刻速率。因此,設置小體積噴淋頭可在獲得期望程度的薄膜均勻性的同時為半導體處理提供更寬的處理窗口,而毋須微調製程參數(例如,流率、用劑時間、吹淨時間、等)來獲得期望程度的薄膜均勻性。在一些實行例中,小體積噴淋頭可達成小於約1.0%的薄膜不均勻性,例如小於約0.5%、或小於約0.3%。在一些實行例中,可以1.5秒或更少的ALD循環達成小於約1.0%的薄膜不均勻性。例如,用劑時間可為0.4秒或更少,吹淨時間可為0.4秒或更少,且電漿步驟可為0.4秒或更少,且電漿後吹淨步驟可為0.15秒或更少。相較而言,習知噴淋頭中的ALD循環可大於每循環約1.5秒,而用劑時間為0.6秒或更多、吹淨時間為0.4秒或更多、電漿步驟為0.4秒或更多、且電漿後吹淨步驟為0.15秒或更多。小體積噴淋頭可在獲得期望程度的薄膜均勻性的同時,藉由減少ALD循環的總時間而增加產量。此
外,小體積噴淋頭可獲得期望程度的薄膜均勻性而不會影響其它的薄膜特性,例如濕蝕刻速率及乾蝕刻速率。
本發明的小體積噴淋頭可安裝在半導體處理腔室中。處理腔室可包括安裝至腔室殼體之頂部的小體積噴淋頭。基板支持件可支持處理腔室內小體積噴淋頭下方的半導體基板。基板支持件與小體積噴淋頭之間可形成一微體積。該微體積可做為基板反應區域、並可於處理期間內幫助將處理氣體集中並保持在半導體基板附近。基板支持件可配置以上下移動以便於裝卸操作。在一些實行例中,小體積噴淋頭可藉由柄部而懸掛在處理腔室的頂蓋下方,且其本身可不形成處理腔室之「頂蓋」的一部分。在這樣的實行例中,小體積噴淋頭可配置以上下移動以便於基板裝卸操作。
本發明關於具有面板的噴淋頭,該面板帶有小直徑通孔。如先前所述,具有多孔阻流板的小體積噴淋頭可包括一面板,而該面板所帶有的通孔具有約0.04英吋或更大的直徑。然而,小體積噴淋頭可包括一面板,而該面板所帶有的通孔具有約0.04英吋或更小的直徑。若通孔的直徑小於約0.04英吋,則較小直徑的通孔可達到更空間均勻性的流動,還可以減少通孔內部的局部電場濃度。這不僅使標準RF功率之情況下的沉積薄膜不均勻性得以改良,即使在更高RF功率之情況下,亦使沉積薄膜不均勻性及其他薄膜性質得以改良。
在產生更空間均勻性的流動、及薄膜性質方面帶來改良的此類噴淋頭,對於通過該噴淋頭的氣體流量發生瞬變流之情況係有幫助的。瞬變流可能在例如ALD的沉積處理中發生。透過適當設計並放置阻流板於該噴淋頭中可達到相當高的流動均勻性;而透過適當設置面板可達到相當高或甚至更高的流
動均勻性。例如,延伸通過面板之通孔的直徑、數量、及配置可對離開面板的流動均勻性加以微調。
圖10顯示具有複數面板通孔1032、1034的例示性面板1004的底部視圖。面板1004可為用於半導體處理設備中之噴淋頭的一部分。該噴淋頭可包含充氣部體積,其具有第一表面及與該第一表面相對的第二表面,該第一表面及該第二表面至少部分地界定了該噴淋頭的充氣部體積。該噴淋頭可包括與該充氣部體積流體連通的一或更多氣體入口。該噴淋頭可更包括設置在該一或更多氣體入口附近的阻流板。在一些實行例中,該阻流板可被設置在該充氣部體積與該一或更多氣體入口之間的一區域中。在一些實行例中,該阻流板可包括複數阻流板通孔。在一些實行例中,該噴淋頭可為小體積噴淋頭,例如前述之小體積噴淋頭。
該噴淋頭可包括面板1004,其包括複數面板通孔1032、1034,其中複數面板通孔1032、1034從面板1004的第一側延伸至第二側。面板1004的第一側可界定該充氣部體積的第一表面。在圖10中,面板通孔1032、1034的每一者可具有大的直徑,例如等於或大於約0.04英吋的直徑。
此外,面板通孔可包括內側通孔1032及外側通孔1034,外側通孔1034被設置在面板1004的第二側、及內側通孔1032的周圍。如圖10所繪示,外側通孔1034被設置在邊界記號1036之外,而內側通孔1032被設置在面板1004的第二側、及邊界記號1036之內。
圖11顯示具有複數小直徑面板通孔1132的例示性面板1104的底部視圖。面板1104可為用於半導體處理設備中之噴淋頭的一部分。該噴淋頭可包含充氣部體積,其具有第一表面及與該第一表面相對的第二表面,該第一表
面及該第二表面至少部分地界定了該噴淋頭的充氣部體積。該噴淋頭可包括與該充氣部體積流體連通的一或更多氣體入口。該噴淋頭可更包括設置在該一或更多氣體入口附近的阻流板。在一些實行例中,該阻流板可被設置在該充氣部體積與該一或更多氣體入口之間的一區域中。在一些實行例中,該阻流板可包括複數阻流板通孔。在一些實行例中,該噴淋頭可為小體積噴淋頭,例如前述之小體積噴淋頭。
該噴淋頭可包括面板1104,其包括複數面板通孔1132,其中複數面板通孔1132從面板1104的第一側延伸至第二側。面板1104的第一側可界定該充氣部體積的第一表面。該噴淋頭的面板1104可製造成具有所選直徑的面板通孔1132。在圖11中,面板通孔1132的每一者可具有小的直徑,例如小於約0.04英吋的直徑。在一些實行例中,面板通孔1132的直徑可介於約0.01英吋與約0.03英吋之間。在一些實行例中,面板通孔1132的直徑可約0.02英吋。
用於噴淋頭的面板1104可製造成具有所選數量的通孔1132,其具有所選配置與直徑。在一些實行例中,通孔1132的數量可介於約300與約6000之間。在一些實行例中,通孔1132的配置可為六角形或三角形。在一些實行例中,通孔1132的配置可為同心的。
將面板通孔1132的數量、配置、及直徑最佳化,可產生離開面板1104的更空間均勻性的軸向流動速率。在瞬變流期間,較小直徑的面板通孔1132可產生跨越面板1104的更高壓降,而降低噴射通過面板1106的效應。跨越面板1104的更高壓降可產生離開面板1104的更均勻流動,而在薄膜沉積期間提供更多薄膜均勻性。例如,在ALD的用劑步驟期間,前驅物可更均勻地配送在整個基板上;且在ALD的電漿步驟期間,氧化物及其他輔助反應物可更均勻
地配送在整個基板上。換言之,提高壓降導致更佳的流動均勻性,而更佳的流動均勻性導致從面板中央至邊緣的更均勻的物種配送。
面板通孔1132在直徑方面可小於直徑至少0.04英吋的一般面板通孔。小直徑面板通孔1132可進一步擴張處理半導體基板的處理窗口。該處理窗口可包括命中某些薄膜性質的期望目標,例如薄膜的不均勻性比例、濕蝕刻速率、及乾蝕刻速率。使用小直徑面板通孔1132可達到期望的薄膜非均勻性之程度,而毋須微調製程參數,例如流率、用劑時間、吹淨時間、RF功率等。如此一來,可改良薄膜性質(例如薄膜的濕蝕刻速率及乾蝕刻速率),同時達到理想的薄膜非均勻性量。事實上,當具有小直徑面板通孔1132的面板1104與包括多孔阻流板的小體積噴淋頭結合時,薄膜不均勻性可低更多,且毋須微調前述製程參數的任一者。在一些實行例中,半導體基板上沉積薄膜的薄膜不均勻性可小於約0.5%、或小於約0.3%,其中可在對薄膜的濕蝕刻速率及乾蝕刻速率無負面影響之情況下發生薄膜不均勻性降低。在一些實行例中,小直徑面板通孔1132甚至可使薄膜性質(例如薄膜的濕蝕刻速率及乾蝕刻速率)得以改良。
在一些實行例中,小直徑面板通孔1132可提供更高的壓降而改良流動均勻性,小直徑面板通孔1132還可以避免或限制電漿回流。透過面板通孔1132限制電漿回流,可避免或者減少面板通孔1132中的局部電場濃度。小直徑面板通孔1132不太可能維持面板通孔1132中的電漿或中空陰極放電(HCDs)。如此一來,在較低RF功率之情況下,可得到薄膜不均勻性之改良,並減少寄生耗損。
在此種條件下,可達到比先前的噴淋頭實行例更大的處理窗口。雖然在先前實行例中可達到某一薄膜非均勻性量,但RF功率或氧化物/輔助反
應物濃度可能不足以達到期望的薄膜濕蝕刻速率或乾蝕刻速率。在先前實行例中為維持期望的薄膜非均勻性,這些製程參數(例如RF功率、氧化物/輔助反應物濃度等)可能係不可接受地低。然而,有了小直徑面板通孔1132,這類製程參數可被提高但不損失或影響期望的薄膜非均勻性。
圖12顯示限制自由基回流之面板通孔的剖面示意圖。不局限於任何理論,該示意圖可呈現小直徑面板通孔1232相對於電漿1292的各種活性物種的效果。該示意圖顯示一部分的噴淋頭,其包括界定在背板1202與面板1204之間的充氣部1230。面板通孔1232從面板1204的第一側延伸到第二側。在一或更多操作(例如ALD的一或更多操作)期間,可在面板1204的下方產生大量電漿1292。靜電鞘1291可形成在面板1204與大量電漿1292之間。在一些實行例中,靜電鞘1291為電漿中具有較高的正離子密度的一層,且可平衡掉在與其接觸之材料表面上的相反的負電荷。靜電鞘1291為從電漿到實體表面的過渡層。若面板通孔1232的尺寸小於靜電鞘1291的尺寸,則面板通孔1232的尺寸可避免靜電鞘1291進入面板通孔1232而在面板通孔1232中維持電漿或HCD。因此,藉由避免面板通孔1232中的局部電場濃度發展,面板通孔1232的尺寸可限制離子、電子、及自由基擴散回到噴淋頭的充氣部1230。
如圖12所示,離子與電子1281可能從大量電漿1292透過面板通孔1232而擴散回到充氣部1230。若電漿欲進入面板通孔1232,且在面板通孔1232中有更高的局部電場濃度,則離子與電子1281較有可能受引致電場的影響而擴散通過面板通孔1232。此類擴散發生得比中性物種更快,且正與負電荷不會分開。離子與電子1281在面板通孔1232中再結合,而較有可能發生電子耗損。
此外,中性自由基1282可能在面板通孔1232中歷經再結合,其中高度激發的物種具有較高的去激發機率。面板通孔1232可限制中性自由基1282的回擴散或回流。相對於大量電漿1292,介穩狀態與長生命期的中性自由基1282的密度可超過該電漿密度二或三個數量級。
若面板通孔1232的尺寸夠小,則靜電鞘1291無法被維持在面板通孔1232中,且可避免或者減少電漿回流。此增加的益處使噴淋頭能夠在較高RF功率之情況下達到期望的薄膜性質,而不危害到薄膜非均勻性。表3針對帶有0.04英吋面板通孔的小體積噴淋頭、及帶有0.02英吋面板通孔的小體積噴淋頭,說明提高RF功率對薄膜非均勻性及沉積速率的效果。
如表3所示,對帶有0.04英吋面板通孔的小體積噴淋頭而言,提高RF功率導致更高程度的薄膜不均勻性。尤其在550W與650W之下,薄膜非均勻性變差。相較之下,對帶有0.02英吋面板通孔的小體積噴淋頭而言,提高RF功率導致相當良好且穩定的薄膜非均勻性,即使在更高的RF功率下亦如此。甚至當RF功率提高到550W與650W,薄膜非均勻性仍維持相當類似於200W與350W的薄膜非均勻性。總的來說,透過提高到更高的RF功率,薄膜可向更高的電漿密度邁進,而使其更小型與密集。因此,薄膜性質(例如濕蝕刻速率與
乾蝕刻速率)可隨著更高的RF功率而被改良。小直徑面板通孔可有助於改良薄膜不均勻性,小直徑面板通孔還可有助於達到更高的電漿密度而改良薄膜性質。因此,有了小直徑面板通孔的處理窗口可大許多。
圖13A顯示一曲線圖,該曲線圖將來自面板之氣體的軸向流動速率描繪為面板之徑向距離的函數,而面板具有漸小的通孔直徑。該曲線圖由四個面板通孔直徑漸小的曲線1301、1302、1303、及1304所組成。曲線圖中的曲線1301顯示面板通孔直徑為0.04英吋的小體積噴淋頭之軸向流動速率。曲線圖中的曲線1302顯示面板通孔直徑為0.03英吋的軸向流動速率;曲線1303顯示面板通孔直徑為0.02英吋的軸向流動速率;而曲線1304顯示面板通孔直徑為0.015英吋的軸向流動速率。雖然小更多的直徑可能因更高的空間均勻性流動而令人滿意,但在面板中製造更小直徑的可行性可能具有挑戰且成本過高。
在圖13A中,隨著面板通孔直徑漸小,軸向流動速率降低但變得更均勻。曲線1301表現出在整個面板上明顯不均勻的軸向流動速率;曲線1302與曲線1303表現出在整個面板上適度均勻的軸向流動速率;而曲線1304表現出在整個面板上實質上均勻的軸向流動速率。
在圖13B顯示一曲線圖,其描繪帶有0.04英吋直徑的面板通孔的小體積噴淋頭、及帶有0.02英吋直徑的面板通孔的小體積噴淋頭的薄膜不均勻性。為量測遍及基板上的複數點的基板厚度輪廓,使用標準的49點極性橢圓偏振技術資料,可量測並計算薄膜不均勻性百分比。在上方的曲線圖中,針對標準面板通孔尺寸之情況測試四個基板,其中面板通孔直徑約0.04英吋。在下方的曲線圖中,針對小直徑面板通孔尺寸之情況測試兩組四個基板,其中面板通
孔直徑約0.02英吋。下方的曲線圖顯示約0.49%的平均薄膜不均勻性,而上方的曲線圖顯示約0.85%的平均薄膜不均勻性。
此外,對於標準面板通孔尺寸與小直徑面板通孔尺寸兩者而言,沉積速率相當類似。就得自圖13B的曲線圖中測試基板的資料來說,對於標準面板通孔尺寸與小直徑面板通孔尺寸兩者而言,完成一ALD循環的時間幾乎相同,且沉積的材料厚度幾乎相等。一般而言,提高壓降會降低前驅物與其他氣體的流動速率,而對薄膜沉積速率產生負面影響。例如,透過減少面板通孔的數量或增加面板的厚度來提高壓降,會對沉積速率產生負面影響。然而,有了小直徑面板通孔,資料顯示即使在有更高壓降以改良軸向流動速率之情況下,完成一ALD循環的時間也不受負面影響。因此,使用小直徑面板通孔可引進更高的壓降,而其不會危害吹淨時間與沉積速率。
在一些實行例中,可在半導體處理腔室或半導體處理站中設置具有小直徑面板通孔的噴淋頭。處理腔室可包括安裝至腔室殼體之頂部的噴淋頭。基板支持件可支持處理腔室內噴淋頭下方的半導體基板。基板支持件與噴淋頭之間可形成一微體積。該微體積可做為基板反應區域、並可於處理期間內幫助將處理氣體集中並保持在半導體基板附近。基板支持件可配置以上下移動以便於裝卸操作。在一些實行例中,該噴淋頭可藉由柄部而懸掛在處理腔室的頂蓋下方,且其本身可不形成處理腔室之「頂蓋」的一部分。在這樣的實行例中,該噴淋頭可配置以上下移動以便於基板裝卸操作。該半導體處理站可更包括一控制器(參考圖16更詳細描述如下),配置有用以執行一或更多操作之指令。該一或更多操作可包括與執行ALD相關的操作。例如,該控制器可配置有用以執行下列操作之指令:(1)提供一基板至該半導體處理站中;(2)透過該噴淋頭將反
應物氣體引入該半導體處理站中以吸附至基板之表面上;(3)透過該噴淋頭將吹淨氣體引入該半導體處理站中;以及(4)施加電漿以在該基板之該表面上由被吸附的該反應物氣體形成一薄膜層。在一些實行例中,形成該薄膜層之操作可使用前述之噴淋頭在小於約1.5秒內於一ALD循環中執行。
在一些實行例中,可將一或更多處理腔室設置為多站半導體處理工具中的處理站。在一些實行例中,單一處理腔室可包括多個處理站,多個處理站其中的一些或全部可具有它們自己的噴淋頭組件。多站半導體處理工具的更詳細敘述參考圖16提供於下文。
具有邊緣孔的噴淋頭
回到圖10,一般的面板1004包括內側通孔1032及外側通孔1034。外側通孔1034被設置在邊界記號1036之外,而內側通孔1032被設置在面板1004的第二側、及邊界記號1036之內,其中邊界記號1036界定鄰近於面板1004之邊緣的一環。外側通孔1034沿邊界記號1036之徑向路徑的空間分布係不均勻的。換言之,外側通孔1034之間的間隔在沿面板1004的周圍區域的方向上係不均勻的。當根據六角或三角圖案形成面板通孔1032、1034時,六角或三角圖案使得外側通孔1034的位置在沿面板1004的周圍區域的方向上彼此不均勻地分布。這使朝向面板1004之最外側邊緣的面板通孔分布產生方位角不連續性。此種不連續性可能產生關於在正在處理的基板之邊緣處的流動均勻性的問題。在一些實行例中,關於在基板之邊緣處的流動均勻性的問題,可包括在基板邊緣處的不均勻流率方面的問題、及在基板邊緣處的不均勻流動方向方面的問題。
因外側通孔1034不均勻分布而產生的方位角不連續性,可能對沿基板邊緣的方位角薄膜非均勻性產生負面影響。更具體而言,不均勻的流動
均勻性、及不均勻的流動方向導致在基板之邊緣處的不均勻薄膜沉積。在一些實行例中,例如,當沿基板之邊緣進行量測時,可形成高及低沉積點的波浪圖案。
本發明係關於具有一面板的噴淋頭,而該面板帶有邊緣通孔。圖10中除了內側通孔1032及外側通孔1034之外,邊緣通孔可形成在面板1004中。邊緣通孔可被設置在基板本身的外側,表示邊緣通孔可徑向地設置成從面板1004的中央擴展超過基板的邊緣。就在基板之邊緣處的流率與流動方向而言,邊緣通孔可提供更高的流動均勻性,而致使改良方位角薄膜不均勻性。在一些實行例中,方位角薄膜不均勻性可小於約0.5%。
如前文討論,噴淋頭可包括一面板,而該面板所帶有的通孔具有小於約0.04英吋的直徑。在一些實行例中,噴淋頭可包括具有多孔折流板的小體積噴淋頭,其中該小體積噴淋頭可具有一面板,而該面板所帶有的通孔具有約0.04英吋或更大、或小於約0.04英吋的直徑。在該面板更包括邊緣通孔的實行例中,在基板之邊緣處可提供更空間均勻性的流動,藉此改良在基板之邊緣處的沉積薄膜不均勻性。
圖14A顯示具有複數中央通孔與複數邊緣通孔的例示性面板的底部視圖。面板1404可為用於半導體處理設備中之噴淋頭的一部分。該噴淋頭可包含充氣部體積,其具有第一表面及與該第一表面相對的第二表面,該第一表面及該第二表面至少部分地界定了該噴淋頭的充氣部體積。該噴淋頭可包括與該充氣部體積流體連通的一或更多氣體入口。該噴淋頭可更包括設置在該一或更多氣體入口附近的阻流板。在一些實行例中,該阻流板可被設置在該充氣部體積與該一或更多氣體入口之間的一區域中。在一些實行例中,該阻流板可
包括複數阻流板通孔。在一些實行例中,該噴淋頭可為小體積噴淋頭,例如前述之小體積噴淋頭。在一些實行例中,面板1404可包括複數面板通孔1432、1438,其中複數面板通孔1432、1438從面板1404的第一側延伸至第二側。面板1404的第一側可界定該充氣部體積的第一表面。在一些實行例中,面板通孔1432、1438的每一者可具有小於約0.04英吋的直徑。在一些實行例中,面板通孔1432、1438的每一者可具有等於或大於0.04英吋的直徑。
面板通孔可包括中央通孔1432及邊緣通孔1438。中央通孔1432包括擴展達到正在處理之基板尺寸的面板通孔。例如,中央通孔1432包括圖10中的內側通孔1032及外側通孔1034。邊緣通孔1438被設置成圍繞中央通孔1432並且作為最靠近面板1404之邊緣的通孔組。在一些實行例中,邊緣通孔1438沿著環1437圓周向地設置在面板1404的周圍區域附近。
在一些實行例中,邊緣通孔1438可排列成中央通孔1432的六角或三角圖案的延伸。因此,邊緣通孔1438沿著環1437的空間分布可為不均勻的。在一些實行例中,邊緣通孔1438可根據同心孔圖案而排列。因此,邊緣通孔1438沿著環1437的空間分布可為均勻的。
半導體處理站及其隨附元件(例如噴淋頭)可配置用以處理特定尺寸的基板。例如,半導體處理站可經配置以處理具有200mm、300mm、450mm等之直徑的基板。面板1404的直徑可對應於基板(該噴淋頭經配置以用於該基板)的直徑。相似地,中央通孔1432的排列可擴展達到基板(該噴淋頭經配置以用於該基板)之直徑。例如,若正在處理之基板的直徑為300mm,則中央通孔1432的排列直徑可為300mm或更小,例如299mm。然而,環1437(邊緣通孔1438設置於其上)的直徑大於基板(該噴淋頭經配置以用於該基板)的直徑。例如,若
正在處理之基板的直徑為300mm,則環1437的直徑可大於300mm,例如303mm。
邊緣通孔1438可製造成具有所選數量、位置、排列、及/或間距,以提高在基板邊緣處的流動均勻性。在一些實行例中,具有較多數量的邊緣通孔1438,可提高在基板邊緣處的流動均勻性。例如,邊緣通孔1438的數量可大於50個通孔、大於75個通孔、或大於100個通孔。此外,使邊緣通孔1438設置成超過基板(該噴淋頭經配置以用於該基板)的直徑,可提高在基板邊緣處的流動均勻性。再者,在邊緣通孔1438之間的間距更靠近、且空間上根據六角形、三角形、或同心的排列而分布之情況下,可提高在基板邊緣處的流動均勻性。
圖14B顯示具有複數中央通孔、沿著第一環的複數邊緣通孔、及沿著第二環的複數邊緣通孔的例示性面板的底部視圖。在一些實行例中,有了額外的通孔的環,可提高在基板邊緣處的流動均勻性。在圖14B中,面板1454可為用於半導體處理設備中之噴淋頭的一部分。面板1454包括複數面板通孔,其中面板通孔包括中央通孔1482、及邊緣通孔1488。邊緣通孔1488沿著第一環1487a且沿著第二環1487b圍繞中央通孔1482。
複數第一邊緣通孔1488a沿著第一環1487a圓周向地設置,而複數第二邊緣通孔1488b沿著第二環1487b圓周向地設置。第一環1487a與第二環1487b(邊緣通孔1488設置於其上)的直徑大於基板(該噴淋頭經配置以用於該基板)的直徑。第二環1487b的直徑大於第一環1487a的直徑。例如,若正在處理的基板的直徑為300mm,則第一環1487a的直徑可大於300mm,例如303mm,而第二環1487b的直徑可大於310mm,例如312mm。邊緣通孔1488可根據所選數量、位置、排列、及/或間距製造成沿著第一環1487a與第二環1487b,以
提高在基板邊緣處的流動均勻性。在一些實行例中,第一邊緣通孔1488a的數量可多於50個通孔、75個通孔、或100個通孔;而第二邊緣通孔1488b的數量可多於100個通孔、125個通孔、或150個通孔。在一些實行例中,邊緣通孔1448在空間上可根據六角形、三角形、或同心的排列而分布。
圖14C顯示例示性面板的放大等角剖面圖,該面板具有中央通孔與以一角度傾斜的一或多個邊緣通孔。在一些實行例中,一或多個邊緣通孔可從該面板的第一側到第二側傾斜一角度。在圖14C中,噴淋頭包括充氣部體積1430與面板1464。面板1464包括複數中央通孔1492與圍繞中央通孔1492的複數邊緣通孔1498。一或多個邊緣通孔1498可從面板1464的第一側1464a到第二側1464b傾斜一角度,其中第一側1464a界定充氣部體積1430的一表面。從界定充氣部體積的表面的軸可量測該角度。在一些實行例中,該角度可從面板1464的第一側1464a到第二側1464b小於約90度,或從面板1464的第一側1464a到第二側1464b小於約75度。
在一些實行例中,一或多個傾斜的邊緣通孔1498可為單一通孔的環的一部分,如圖14A所繪示。中央通孔1492未傾斜。一或多個傾斜的邊緣通孔1498可為複數通孔的環之最末環的一部分,如圖14B所繪示。因此,中央通孔1492與若干邊緣通孔1498(例如沿第一環圓周向地設置的邊緣通孔)未傾斜。
一或多個傾斜的邊緣通孔1498可提高在基板邊緣處的流動均勻性。在一些實行例中,充氣部體積1430的最外側邊緣未擴展超過基板(該噴淋頭經配置以用於該基板)的邊緣。換句話說,充氣部體積1430之表面的直徑(由面板1464的第一側1464a所界定)不大於基板的直徑。若一或多個邊緣通孔1498
以一角度在面板1464中形成,該角度可在面板1464的第二側1464b提供擴展超過基板的邊緣的一或多個邊緣通孔1498。替代地,充氣部體積1430可擴展超過基板(該噴淋頭經配置以用於該基板)的邊緣,但不太多或不夠遠。以一角度形成的一或多個邊緣通孔1498可在面板1464的第二側1464b提供擴展遠遠超過基板的邊緣的一或多個邊緣通孔1498。當氣體流量離開面板1464時,這可使在基板邊緣處的流動均勻性得以提高。
不局限於任何理論,透過影響從面板1464出來的氣體的速率,該角度可提高在基板邊緣處的流動均勻性。首先,該角度可降低從面板1464出來的氣體的速度。第二,該角度可增加更多水平分量方向上的流量,其可進一步改良在基板邊緣處的流動均勻性。
圖15A顯示側面剖面視圖,其將具有中央通孔的例示性面板及具有中央與邊緣通孔的例示性面板進行比較。第一面板1504包括中央通孔1532,而第二面板1554包括中央通孔1532與邊緣通孔1584、1586。圖15B顯示側面剖面視圖之放大部分,其將圖15A的二個例示性面板進行比較。圖15C顯示等角剖面視圖之放大部分,其將圖15A的二個例示性面板進行比較。在圖15A-15C中,噴淋頭1500可包括背板1502與面板1504/1554,其中背板1502與面板1504/1554可設置成彼此相對。充氣部體積1530/1580可界定在背板1502與面板1504/1554之間,其中充氣部體積1530/1580可具有第一表面及與該第一表面相對的第二表面,該第一表面及該第二表面至少部分地界定了充氣部體積1530/1580。在一些實行例中,充氣部體積1530/1580的第一表面及第二表面可具有環向表面。
可透過與充氣部體積1530/1580流體連接的一或更多氣體入口1520而對充氣部體積1530/1580供應氣體(例如反應物氣體或吹淨氣體)。圖15A中的一或更多氣體入口1520可包括柄部1522,其中柄部1522可包括延伸通過柄部1522的管部1524。噴淋頭1500亦可包括設置在一或更多氣體入口1520附近的阻流板1510。在一些實行例中,阻流板1500可被設置在充氣部體積1530/1580與一或更多氣體入口1520之間的一區域中。阻流板1510可為多孔性或非多孔性,其中阻流板1510可經設置以引導氣體的流量向外穿過充氣部體積1530、及朝向面板1504/1554的邊緣。阻流板1510可抑制氣體流動噴射穿過面板1504/1554的中央。
圖15A-15C將第一面板1504的設計與第二面板1554的設計、以及由第一面板1504界定的第一充氣部體積1530與由第二面板1554界定的第二充氣部體積1580加以比較。第一面板1504與第二面板1554每一者包括從第一側延伸到第二側的複數中央通孔1532。中央通孔1532可作為配送孔或通孔以幫助將氣體輸送至基板。在一些實行例中,中央通孔1532每一者可具有小於約0.04英吋的直徑。在一些實行例中,中央通孔1532每一者可具有等於或大於0.04英吋的直徑。
為容納額外的通孔,由第一面板1504界定的第一充氣部體積1530的體積可擴展以形成更大的體積,這可以由第二面板1554界定的第二充氣部體積1580來說明。第一充氣部體積1530與第二充氣部體積1580每一者可為圓柱狀,其中第二充氣部體積1580的直徑大於第一充氣部體積1530的直徑。在一些實行例中,第二充氣部體積1580的直徑可比第一充氣部體積1530的直徑大距離
D。擴展的體積可提供更多空間讓額外的通孔1584、1586形成在第二面板1554中。
額外的通孔1584、1586可設置在第二面板1554中,從第二面板1554的第一側延伸到第二側。在一些實行例中,額外的通孔1584、1586每一者可具有小於約0.04英吋的直徑。在一些實行例中,額外的通孔1584、1586每一者可具有等於或大於0.04英吋的直徑。有了額外的通孔1584、1586,與僅具有通孔1532的第一面板1504相較之下,有更多通孔被設置在相鄰第二面板1554邊緣之處。在一些實行例中,額外的通孔可包括沿第一環形成的第一通孔1584、及沿第二環形成的第二通孔1586。第一通孔1584及/或第二通孔1586可提供更高的正在處理的基板之邊緣處的流動均勻性。在一些實行例中,該第一環與該第二環的直徑每一者可大於正在處理的基板之直徑。
在一些實行例中,可在半導體處理腔室或半導體處理站中設置具有邊緣通孔的噴淋頭。處理腔室可包括安裝至腔室殼體之頂部的噴淋頭。基板支持件可支持處理腔室內噴淋頭下方的半導體基板。基板支持件與噴淋頭之間可形成一微體積。該微體積可做為基板反應區域、並可於處理期間內幫助將處理氣體集中並保持在半導體基板附近。基板支持件可配置以上下移動以便於裝卸操作。在一些實行例中,該噴淋頭可藉由柄部而懸掛在處理腔室的頂蓋下方,且其本身可不形成處理腔室之「頂蓋」的一部分。在這樣的實行例中,該噴淋頭可配置以上下移動以便於基板裝卸操作。該半導體處理站可更包括一控制器(參考圖16更詳細描述如下),配置有用以執行一或更多操作之指令。該一或更多操作可包括與執行ALD相關的操作。例如,該控制器可配置有用以執行下列操作之指令:(1)提供一基板至該半導體處理站中;(2)透過該噴淋頭將反應物氣
體引入該半導體處理站中以吸附至基板之表面上;(3)透過該噴淋頭將吹淨氣體引入該半導體處理站中;以及(4)施加電漿以在該基板之該表面上由被吸附的該反應物氣體形成一薄膜層。在一些實行例中,形成該薄膜層之操作可使用前述之噴淋頭在小於約1.5秒內於一ALD循環中執行。
在一些實行例中,可將一或更多處理腔室設置為多站半導體處理工具中的處理站。在一些實行例中,單一處理腔室可包括多個處理站,多個處理站其中的一些或全部可具有它們自己的噴淋頭組件。多站半導體處理工具的更詳細敘述參考圖16討論。
圖16顯示一多站處理工具的示意圖,該多站處理工具可包括具有多孔阻流板、小直徑面板通孔、及/或邊緣通孔的小體積噴淋頭。該多站處理工具1600可包括入站負載鎖室1602及出站負載鎖室1604。在大氣壓力下的機械臂1606可配置以將基板從透過基板盒(pod)1608裝載的卡匣中經由大氣埠1610移動至入站負載鎖室1002中。可藉由機械臂1606將基板放置在入站負載鎖室1602中的支座1612上,可關閉大氣埠1610,然後可將負載鎖室抽空。若入站負載鎖室1602包括一遠距電漿源,則基板可在被引入處理腔室1614前在負載鎖室中暴露於遠距電漿處理。另外,基板亦可在入站負載鎖室1602中被加熱,例如,以移除濕氣及吸附的氣體。接下來,可開啟通往處理腔室1614的腔室傳輸埠1616,然後另一機械臂(未顯示)可將基板放置在處理腔室1614中之第一站(顯示於反應器中)的支座上以進行處理。雖然圖16中描繪的實行例包括負載鎖室,但應理解在一些實行例中,基板可直接進入處理站。
描繪的處理腔室1614包括四個處理站,在圖16所顯示的實行例中編號為1至4。每一站可具有加熱或未加熱的支座(對站1而言顯示於1618)、
及氣體管線入口。應理解在一些實行例中,每一處理站可具有不同或多重的目的。例如,在一些實行例中,一處理站可在ALD與電漿增強化學氣相沉積(PECVD)處理模式之間切換。此外或或者,在一些實行例中,處理腔室1614可包括一或更多配對的ALD及PECVD處理站。雖然描繪的處理腔室1614包括四個站,但應了解根據本發明之處理腔室可具有任何合適數量之站。例如,在一些實行例中,一處理腔室可具有五或更多的站,然而在其他實行例中,一處理腔室可具有三或更少的站。
每一站可包括獨立的噴淋頭組件,該噴淋頭組件將處理氣體輸送至相關聯的站之基板。在一些實行例中,這些噴淋頭其中一部分或全部可利用具有如本文中所描述之多孔阻流板、小直徑面板通孔、及/或邊緣通孔的小體積噴淋頭。例如,若一站對基板提供ALD處理或其他可因使用本文中所描述之設備而受益的處理,則該站之噴淋頭可為具有如本文中所描述之多孔阻流板、小直徑面板通孔、及/或邊緣通孔的小體積噴淋頭。
圖16亦描繪用以在處理腔室1614內轉移基板的基板搬運系統1690。在一些實行例中,基板搬運系統1690可在不同處理站之間及/或在處理站與負載鎖室之間轉移基板。已知可使用任何合適的基板搬運系統。非限制性的範例包括基板旋轉料架及基板搬運機械臂。圖16亦描繪一系統控制器1650,該系統控制器係用以控制處理工具1600的處理條件及硬體狀態。系統控制器1650可包括一或更多記憶裝置1656、一或更多大量儲存裝置1654、及一或更多處理器1652。處理器1652可包括CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板等。
在一些實施例中,控制器1650可為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓支座、氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。該電子設備可稱為「控制器」,其可控制一或複數之系統的各種元件或子部件。依據製程參數及/或系統之類型,可對控制器1650編寫程式以控制本文所揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器1650定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該製程參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器1650可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器1650係可位於「雲端」(in the“cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器1650係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器1650可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所敘述之製程及控制。用於此類用途的分開之控制器1650的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、
徑跡腔室或模組、及與半導體晶圓之加工及/或製造有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器1650可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
在一些實行例中,系統控制器1650控制處理工具1600的全部活動。系統控制器1650執行系統控制軟體1658,其中該軟體被儲存於大量儲存裝置1654中、被載入記憶裝置中1656、然後被在處理器1652上執行。系統控制軟體1658可包括複數指令,用以控制時程、氣體的混合、腔室及/或站壓力、腔室及/或站溫度、基板溫度、目標功率位準、RF功率位準、基板支座、卡盤、及/或承受體位置、及由處理工具1600執行的特定處理之其他參數。可以任何合適的方式配置系統控制軟體1658。例如,可撰寫各樣的處理工具元件子程式或控制物件以控制用以實行各樣處理工具之處理所必須的處理工具元件之運作。可以任何合適的電腦可讀程式語言將系統控制軟體1658編碼。
在一些實行例中,系統控制軟體1658可包括輸入/輸出控制(IOC)序列指令,用以控制上述的各樣參數。例如,ALD處理其中每一階段可包括一或更多用以被系統控制器1650執行的指令。用以為ALD處理階段設定製程條件的指令可被包括在對應的ALD配方階段中。在一些實行例中,若存在多個噴淋頭,則可對該等噴淋頭進行獨立地控制俾執行分開及平行的處理操作。
在一些實行例中可使用儲存在與系統控制器1650聯結的大量儲存裝置1654及/或記憶裝置1656上的其他電腦軟體及/或程式。用於此目的之程式或程式片段之範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包括用於處理工具元件上之程式碼,其中該處理工具元件係用以將基板裝載至支座1618上並控制基板與處理工具1600的其他元件之間的間隔。
處理氣體控制程式可包括用以控制氣體組成及流率、並可選性地用以在沉積前將氣體流動進入一或更多處理站以穩定處理站內的壓力之編碼。壓力控制程式可包括藉由調節例如處理站之排氣系統中的節流閥、或進入處理站的氣體流量而控制處理站中的壓力之編碼。
加熱器控制程式可包括用於控制用以加熱基板之加熱元件的電流之編碼。替代地,加熱器控制程式可控制熱傳氣體(例如氦)至基板的輸送。
電漿控制程式可包括用於設定施加至一或更多處理站中之處理電極的RF功率位準之編碼。在適當的情況下,電漿控制程式包括用以控制外部電漿產生器及/或將處理氣體供應至電漿產生器或自由基來源體積所需之閥門調節之編碼。
在一些實行例中,可具有與系統控制器1650聯結的使用者介面。該使用者介面可包括顯示螢幕、設備及/或製程條件的圖形化軟體顯示器、及使用者輸入裝置(例如指標裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實行例中,藉由系統控制器1650調整的參數可與製程條件有關。非限制性範例包括處理氣體組成及流率、溫度、壓力、電漿條件(例如
RF偏壓功率位準)等。可將這些參數以配方的形式提供給使用者,而該配方可利用使用者介面而輸入。
可從各樣的處理工具感測器藉由系統控制器1650的類比及/或數位輸入連接件而提供用以監控處理的訊號。可在處理工具1600的類比及數位輸出連接件上輸出用以控制處理的訊號。可監控的處理工具感測器之非限制性範例包括質量流量控制器、壓力感測器(例如壓力計)、熱偶等。可配合來自這些感測器的數據,使用合適地被程式化之反饋及控制演算法以維持製程條件。
系統控制器1650可提供用以實行各樣半導體加工處理的程式指令。該程式指令可控制各樣的處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制參數以對薄膜疊層的原位沉積進行操作。
系統控制器一般可包括用以執行指令的一或更多記憶裝置及一或更多處理器,俾使設備根據本發明執行方法。可將機器可讀媒體連結至系統控制器,其中該機器可讀媒體含有用以根據本發明而對處理操作進行控制之指令。
雖然圖16中所示的半導體處理工具描繪了單一的四站處理腔室或模組,但半導體處理工具的其它實行例可包括多個模組,其中每一模組具有單站或多個站。這樣的模組可彼此內連、及/或被安排在可幫助基板在模組之間移動的一或更多傳輸腔室附近。這樣的多模組半導體處理工具所設置的複數站其中一或更多者可根據需要而配備有包括如本文中所述之多孔阻流板、小直徑面板通孔、及/或邊緣通孔的小體積噴淋頭。
一般而言,包括如本文中所述之多孔阻流板、小直徑面板通孔、及/或邊緣通孔的小體積噴淋頭可被安裝在反應腔室中用以支持一或更多半導體
基板的基板支持件之上方。舉例而言,小體積噴淋頭亦可做為反應腔室的頂蓋、或頂蓋的一部分。在其他實行例中,小體積噴淋頭可為「枝型吊燈」型噴淋頭,且可藉由柄部或其他支持結構而懸掛在反應腔室的頂蓋下方。
上文中所描述之設備/處理可配合微影圖案化工具或處理(例如,步進機)而使用,例如用於半導體裝置、顯示器、LEDs、光伏面板、及類似物之製造或加工。一般來說,雖然不是必然,會在一共同的加工設備中一起使用或進行這樣的工具/處理。薄膜的微影圖案化一般包括一些或全部的以下操作,每一操作藉由一些可能的工具而實行:(1)使用旋塗或噴塗工具將光阻劑塗佈在工作件(即晶圓)上;(2)使用熱板或加熱爐或UV固化工具將光阻劑固化;(3)利用例如晶圓步進機這樣的工具來將光阻劑暴露於可見或UV或X光;(4)使用例如濕式清洗台這樣的工具來將光阻劑顯影以選擇性地移除光阻劑並藉此將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具來將光阻劑圖案轉移至下面的薄膜或工作件中;及(6)使用例如RF或微波電漿光阻剝離器之工具來將光阻劑移除。
雖然為了清楚理解的目的已對前述的實施例進行詳細地描述,顯而易見的,仍可在隨附申請專利範圍的範圍內實行某些改變及修改。應當注意,所述的處理、系統及設備具有許多替代做法。因此,所述的實施例應被認為係說明性的而非限制性的。
1454‧‧‧面板
1482‧‧‧通孔
1487a‧‧‧環
1487b‧‧‧環
1488‧‧‧通孔
1488a‧‧‧通孔
1488b‧‧‧通孔
Claims (26)
- 一種使用於半導體處理設備中的噴淋頭,包含:一充氣部體積,具有一第一表面及在該第一表面對面的一第二表面,該第一表面及該第二表面至少部分地界定了該噴淋頭的該充氣部體積;一面板,包括複數面板通孔,該複數面板通孔從該面板的一第一側延伸至一第二側,該面板的該第一側界定了該充氣部體積的該第一表面,各個面板通孔具有等於或小於約0.04英吋的徑向直徑;一背板,其在該面板對面,其中該背板的一側界定了該充氣部體積的該第二表面;一柄部,連接至該背板且與該充氣部體積流體連通;及一阻流板,包括複數阻流板通孔,該阻流板經配置以配送氣流於該阻流板之邊緣周圍並通過該阻流板,該阻流板係至少部分或完全設置在該充氣部體積與該柄部之間的區域內。
- 如申請專利範圍第1項之使用於半導體處理設備中的噴淋頭,其中該區域係凹陷於該背板的該側中,並與該充氣部體積直接流體連通且與該柄部直接流體連通,該柄部、凹陷的該區域、及該充氣部體積之各者界定一圓柱狀體積,其中該充氣部體積之直徑大於凹陷的該區域之外直徑,且凹陷的該區域之直徑大於該柄部之直徑。
- 如申請專利範圍第1項之使用於半導體處理設備中的噴淋頭,其中該阻流板之孔隙率介於約5%與約25%之間,且其中,相較於該阻流板的中央,該複數阻流板通孔係設置為更朝向該阻流板的邊緣。
- 如申請專利範圍第1項之使用於半導體處理設備中的噴淋頭,其中該阻流板的直徑大於該柄部的內直徑,且為該面板之直徑的至多四分之一倍。
- 如申請專利範圍第1項之使用於半導體處理設備中的噴淋頭,其中各個面板通孔的直徑介於約0.01英吋與約0.03英吋之間。
- 如申請專利範圍第1至5項中任一項之使用於半導體處理設備中的噴淋頭,其中該面板通孔之數量在約300與約6000通孔之間。
- 如申請專利範圍第1至5項中任一項之使用於半導體處理設備中的噴淋頭,其中該面板通孔之直徑經配置以提高從該面板流出的氣體流量的空間均勻性。
- 如申請專利範圍第1至5項中任一項之使用於半導體處理設備中的噴淋頭,其中該面板通孔之直徑經配置以減少電漿從該面板之外進入該充氣部體積的回流。
- 一種半導體處理站,該半導體處理站包括如申請專利範圍第1至5項中任一項之噴淋頭。
- 如申請專利範圍第9項之半導體處理站,更包含:一控制器,配置有用以執行以下操作之指令:提供一基板至該半導體處理站中;透過該噴淋頭將反應物氣體引入該半導體處理站中以吸附至該基板之表面上;透過該噴淋頭將吹淨氣體引入該半導體處理站中;並施加電漿以在該基板之該表面上由被吸附的該反應物氣體形成一薄膜層。
- 如申請專利範圍第10項之半導體處理站,其中該電漿係在大於約500W的功率下施加,且該薄膜層的薄膜不均勻性小於約0.5%。
- 如申請專利範圍第11項之半導體處理站,其中該薄膜層的薄膜不均勻性小於約0.3%。
- 如申請專利範圍第10項之半導體處理站,其中在一原子層沉積(ALD)循環中形成該薄膜層係在小於約1.5秒內完成。
- 一種使用於半導體處理設備中的噴淋頭,包含:一充氣部體積,具有一第一表面及在該第一表面對面的一第二表面,該第一表面及該第二表面至少部分地界定了該噴淋頭的該充氣部體積;一面板,包括複數面板通孔,該複數面板通孔從該面板的一第一側延伸至一第二側,該面板的該第一側界定了該充氣部體積的該第一表面,該複數面板通孔包括中央通孔與圍繞該中央通孔的邊緣通孔,該邊緣通孔以大於一基板之直徑的直徑圓周向地設置在該面板的該第二側,而該噴淋頭經配置以用於該基板;一背板,其在該面板對面,其中該背板的一側界定了該充氣部體積的該第二表面;一柄部,連接至該背板且與該充氣部體積流體連通;及一阻流板,包括複數阻流板通孔,該阻流板經配置以配送氣流於該阻流板之邊緣周圍且通過該阻流板,該阻流板係至少部分或完全設置在該充氣部體積與該柄部之間的區域內。
- 如申請專利範圍第14項之使用於半導體處理設備中的噴淋頭,其中該邊緣通孔沿著一環圓周向地設置在該面板的該第二側,而該環具有大於約300mm的直徑。
- 如申請專利範圍第14項之使用於半導體處理設備中的噴淋頭,其中該邊緣通孔從該面板的該第一側到該第二側傾斜小於約90度的角度。
- 如申請專利範圍第16項之使用於半導體處理設備中的噴淋頭,其中該邊緣通孔從該面板的該第一側到該第二側傾斜小於約75度的角度。
- 如申請專利範圍第14項之使用於半導體處理設備中的噴淋頭,其中該邊緣通孔沿著一第一環以及圍繞該第一環的一第二環圓周向地設置在該面板的該第二側。
- 如申請專利範圍第18項之使用於半導體處理設備中的噴淋頭,其中該第一環具有大於約300mm的直徑,且該第二環具有大於約310mm的直徑。
- 如申請專利範圍第18項之使用於半導體處理設備中的噴淋頭,其中該第二環的該邊緣通孔從該面板的該第一側到該第二側傾斜小於約75度的角度。
- 如申請專利範圍第14至20項中任一項之使用於半導體處理設備中的噴淋頭,其中該區域係凹陷於該背板的該側中,並與該充氣部體積直接流體連通且與該柄部直接流體連通,該柄部、凹陷的該區域、及該充氣部體積之各者界定一圓柱狀體積,其中該充氣部體積之直徑大於凹陷的該區域之外直徑,且凹陷的該區域之直徑大於該柄部之直徑。
- 如申請專利範圍第14至20項中任一項之使用於半導體處理設備中的噴淋頭,其中該阻流板的直徑大於該柄部的內直徑,且為該面板之直徑的至多四分之一倍。
- 如申請專利範圍第14至20項中任一項之使用於半導體處理設備中的噴淋頭,其中各個面板通孔的直徑小於約0.04英吋。
- 如申請專利範圍第14至20項中任一項之使用於半導體處理設備中的噴淋頭,其中該邊緣通孔經設置以提高從該面板流出的氣體流量的空間均勻性。
- 一種半導體處理站,該半導體處理站包括如申請專利範圍第14至20項中任一項之噴淋頭。
- 如申請專利範圍第25項之半導體處理站,更包含:一控制器,配置有用以執行以下操作之指令:提供一基板至該半導體處理站中;透過該噴淋頭將反應物氣體引入該半導體處理站中以吸附至該基板之表面上;透過該噴淋頭將吹淨氣體引入該半導體處理站中;並施加電漿以在該基板之該表面上由被吸附的該反應物氣體形成一薄膜層。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562165761P | 2015-05-22 | 2015-05-22 | |
US62/165,761 | 2015-05-22 | ||
US14/850,816 US10378107B2 (en) | 2015-05-22 | 2015-09-10 | Low volume showerhead with faceplate holes for improved flow uniformity |
US14/850,816 | 2015-09-10 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201712144A TW201712144A (zh) | 2017-04-01 |
TWI713525B true TWI713525B (zh) | 2020-12-21 |
Family
ID=57324324
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105115413A TWI713525B (zh) | 2015-05-22 | 2016-05-19 | 用以達成改良的流動均勻性之具有面板孔的小體積噴淋頭 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10378107B2 (zh) |
JP (3) | JP6912164B2 (zh) |
KR (3) | KR102357417B1 (zh) |
CN (1) | CN106167895B (zh) |
SG (2) | SG10202102836YA (zh) |
TW (1) | TWI713525B (zh) |
Families Citing this family (64)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9287113B2 (en) | 2012-11-08 | 2016-03-15 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
JP5697389B2 (ja) * | 2010-09-27 | 2015-04-08 | 東京エレクトロン株式会社 | プラズマエッチング用の電極板及びプラズマエッチング処理装置 |
US9441296B2 (en) | 2011-03-04 | 2016-09-13 | Novellus Systems, Inc. | Hybrid ceramic showerhead |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10741365B2 (en) * | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US9797042B2 (en) | 2014-05-15 | 2017-10-24 | Lam Research Corporation | Single ALD cycle thickness control in multi-station substrate deposition systems |
US9793096B2 (en) * | 2014-09-12 | 2017-10-17 | Lam Research Corporation | Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US10378107B2 (en) * | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10483092B2 (en) * | 2016-04-13 | 2019-11-19 | Lam Research Corporation | Baffle plate and showerhead assemblies and corresponding manufacturing method |
US20170314129A1 (en) | 2016-04-29 | 2017-11-02 | Lam Research Corporation | Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) * | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
WO2018106627A1 (en) * | 2016-12-08 | 2018-06-14 | Applied Materials, Inc. | Temporal atomic layer deposition processing chamber |
TWI738920B (zh) * | 2016-11-14 | 2021-09-11 | 日商東京威力科創股份有限公司 | 半導體製造方法及相關裝置與電漿處理系統 |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
CN107516625A (zh) * | 2017-07-13 | 2017-12-26 | 江苏鲁汶仪器有限公司 | 一种等离子体刻蚀系统的喷淋头 |
US10851457B2 (en) | 2017-08-31 | 2020-12-01 | Lam Research Corporation | PECVD deposition system for deposition on selective side of the substrate |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10697059B2 (en) | 2017-09-15 | 2020-06-30 | Lam Research Corporation | Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching |
KR102538177B1 (ko) | 2017-11-16 | 2023-05-31 | 삼성전자주식회사 | 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치 |
KR102404061B1 (ko) | 2017-11-16 | 2022-05-31 | 삼성전자주식회사 | 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치 |
WO2019113478A1 (en) | 2017-12-08 | 2019-06-13 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US11149350B2 (en) * | 2018-01-10 | 2021-10-19 | Asm Ip Holding B.V. | Shower plate structure for supplying carrier and dry gas |
KR102474847B1 (ko) * | 2018-04-25 | 2022-12-06 | 삼성전자주식회사 | 가스 인젝터 및 웨이퍼 처리 장치 |
US11834743B2 (en) | 2018-09-14 | 2023-12-05 | Applied Materials, Inc. | Segmented showerhead for uniform delivery of multiple precursors |
US10984987B2 (en) * | 2018-10-10 | 2021-04-20 | Lam Research Corporation | Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression |
US11094511B2 (en) * | 2018-11-13 | 2021-08-17 | Applied Materials, Inc. | Processing chamber with substrate edge enhancement processing |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) * | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
CN113490765A (zh) * | 2019-03-08 | 2021-10-08 | 应用材料公司 | 用于处理腔室的多孔喷头 |
JP7058239B2 (ja) * | 2019-03-14 | 2022-04-21 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
WO2020222853A1 (en) | 2019-05-01 | 2020-11-05 | Lam Research Corporation | Modulated atomic layer deposition |
CN110170433A (zh) * | 2019-05-28 | 2019-08-27 | 昆山国显光电有限公司 | 一种真空干燥装置 |
DE102019119019A1 (de) | 2019-07-12 | 2021-01-14 | Aixtron Se | Gaseinlassorgan für einen CVD-Reaktor |
KR102505474B1 (ko) | 2019-08-16 | 2023-03-03 | 램 리써치 코포레이션 | 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착 |
US11859284B2 (en) * | 2019-08-23 | 2024-01-02 | Taiwan Semiconductor Manufacturing Company Ltd. | Shower head structure and plasma processing apparatus using the same |
KR20220052996A (ko) * | 2019-08-28 | 2022-04-28 | 램 리써치 코포레이션 | 금속 증착 |
JP7313269B2 (ja) * | 2019-12-23 | 2023-07-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
CN114929935A (zh) * | 2020-01-06 | 2022-08-19 | 朗姆研究公司 | 带有内部轮廓的面板的喷头 |
CN111403256B (zh) * | 2020-03-24 | 2022-03-22 | 北京北方华创微电子装备有限公司 | 半导体工艺装置 |
US11373845B2 (en) * | 2020-06-05 | 2022-06-28 | Applied Materials, Inc. | Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes |
CN113802113A (zh) * | 2020-06-13 | 2021-12-17 | 拓荆科技股份有限公司 | 一种改善反应过程中反射功率稳定性的等离子体发生装置 |
CN115956136A (zh) * | 2020-06-15 | 2023-04-11 | 朗姆研究公司 | 用于半导体处理工具的具有斜角气体分配通道的喷头面板 |
TWI727839B (zh) * | 2020-06-24 | 2021-05-11 | 天虹科技股份有限公司 | 半導體原子層沉積裝置之噴灑頭結構 |
US20220122811A1 (en) * | 2020-10-16 | 2022-04-21 | Applied Materials, Inc. | Electric arc mitigating faceplate |
US20220134359A1 (en) * | 2020-10-30 | 2022-05-05 | Kabushiki Kaisha Toshiba | Rectifying plate, fluid-introducing apparatus, and film-forming apparatus |
CN112323043A (zh) * | 2020-10-30 | 2021-02-05 | 泉芯集成电路制造(济南)有限公司 | 一种气体分配器以及原子层沉积反应设备 |
CN114045471A (zh) * | 2021-11-23 | 2022-02-15 | 中国电子科技集团公司第五十五研究所 | 等离子体增强型化学气相淀积设备薄膜均匀性改善装置 |
CN114098371B (zh) * | 2021-12-02 | 2023-02-28 | 郑州航空工业管理学院 | 一种智能化控制的工业设计展示装置 |
CN114457321B (zh) * | 2022-01-21 | 2023-03-28 | 深圳市纳设智能装备有限公司 | 一种进气装置及cvd设备 |
WO2023177570A1 (en) * | 2022-03-15 | 2023-09-21 | Lam Research Corporation | Showerhead for substrate processing systems |
TWM639577U (zh) * | 2022-03-25 | 2023-04-11 | 美商蘭姆研究公司 | 用於改善沉積厚度均勻性的噴淋頭、噴淋頭組件及基板處理系統 |
WO2024091408A1 (en) * | 2022-10-25 | 2024-05-02 | Lam Research Corporation | Cupped baffle plates for showerheads of substrate processing systems |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200902751A (en) * | 2007-03-30 | 2009-01-16 | Lam Res Corp | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
US9017481B1 (en) * | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
Family Cites Families (224)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
BE628052A (zh) | 1962-03-15 | |||
GB2112715B (en) | 1981-09-30 | 1985-07-31 | Shinshu Seiki Kk | Ink jet recording apparatus |
JPS6187319A (ja) * | 1984-10-05 | 1986-05-02 | Hitachi Ltd | プラズマを用いた化学気相成膜装置 |
JPH067542B2 (ja) | 1984-11-22 | 1994-01-26 | 株式会社日立製作所 | 製造装置 |
US4960488A (en) | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US4993485A (en) | 1989-09-18 | 1991-02-19 | Gorman Jeremy W | Easily disassembled heat exchanger of high efficiency |
US5106453A (en) | 1990-01-29 | 1992-04-21 | At&T Bell Laboratories | MOCVD method and apparatus |
US5186756A (en) | 1990-01-29 | 1993-02-16 | At&T Bell Laboratories | MOCVD method and apparatus |
EP0462730A1 (en) | 1990-06-18 | 1991-12-27 | AT&T Corp. | Method and apparatus for forming planar integrated circuit layers |
US5212116A (en) | 1990-06-18 | 1993-05-18 | At&T Bell Laboratories | Method for forming planarized films by preferential etching of the center of a wafer |
JP3147392B2 (ja) | 1991-03-04 | 2001-03-19 | 宇部サイコン株式会社 | 熱可塑性樹脂組成物 |
US5268034A (en) | 1991-06-25 | 1993-12-07 | Lsi Logic Corporation | Fluid dispersion head for CVD appratus |
US5286519A (en) | 1991-06-25 | 1994-02-15 | Lsi Logic Corporation | Fluid dispersion head |
FR2682047B1 (fr) | 1991-10-07 | 1993-11-12 | Commissariat A Energie Atomique | Reacteur de traitement chimique en phase gazeuse. |
US5446824A (en) | 1991-10-11 | 1995-08-29 | Texas Instruments | Lamp-heated chuck for uniform wafer processing |
US5376213A (en) | 1992-07-28 | 1994-12-27 | Tokyo Electron Limited | Plasma processing apparatus |
US5453124A (en) | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
JP3174438B2 (ja) | 1993-08-03 | 2001-06-11 | 松下電器産業株式会社 | プラズマcvd方法 |
US5452396A (en) | 1994-02-07 | 1995-09-19 | Midwest Research Institute | Optical processing furnace with quartz muffle and diffuser plate |
JPH07240404A (ja) * | 1994-03-02 | 1995-09-12 | Fujitsu Ltd | プラズマ処理装置 |
US5589002A (en) | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
US5581874A (en) | 1994-03-28 | 1996-12-10 | Tokyo Electron Limited | Method of forming a bonding portion |
JP3172537B2 (ja) | 1994-03-29 | 2001-06-04 | カール−ツァイス−スティフツング | 湾曲した基材のコーティング用pcvd法及び装置 |
US5468298A (en) | 1994-04-13 | 1995-11-21 | Applied Materials, Inc. | Bottom purge manifold for CVD tungsten process |
US5643394A (en) | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
EP0704551B1 (en) * | 1994-09-27 | 2000-09-06 | Applied Materials, Inc. | Method of processing a substrate in a vacuum processing chamber |
TW331652B (en) | 1995-06-16 | 1998-05-11 | Ebara Corp | Thin film vapor deposition apparatus |
KR100319468B1 (ko) | 1995-06-30 | 2002-04-22 | 히가시 데쓰로 | 플라즈마 처리 방법 |
JPH0945624A (ja) | 1995-07-27 | 1997-02-14 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
JP2879887B2 (ja) * | 1995-08-24 | 1999-04-05 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US5670218A (en) | 1995-10-04 | 1997-09-23 | Hyundai Electronics Industries Co., Ltd. | Method for forming ferroelectric thin film and apparatus therefor |
DE29517100U1 (de) | 1995-10-17 | 1997-02-13 | Zimmer, Johannes, Klagenfurt | Strömungsteilungs- und -umformungskörper |
US5653479A (en) | 1996-02-02 | 1997-08-05 | Vlsi Technology, Inc. | Vacuum seal for a ball junction |
US6054013A (en) * | 1996-02-02 | 2000-04-25 | Applied Materials, Inc. | Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density |
US5741363A (en) | 1996-03-22 | 1998-04-21 | Advanced Technology Materials, Inc. | Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition |
US5614026A (en) | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
US5948704A (en) | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US5996528A (en) | 1996-07-02 | 1999-12-07 | Novellus Systems, Inc. | Method and apparatus for flowing gases into a manifold at high potential |
US5834068A (en) | 1996-07-12 | 1998-11-10 | Applied Materials, Inc. | Wafer surface temperature control for deposition of thin films |
JP3310171B2 (ja) | 1996-07-17 | 2002-07-29 | 松下電器産業株式会社 | プラズマ処理装置 |
JPH1050678A (ja) * | 1996-08-02 | 1998-02-20 | Ibiden Co Ltd | プラズマエッチング用電極板 |
US5806980A (en) | 1996-09-11 | 1998-09-15 | Novellus Systems, Inc. | Methods and apparatus for measuring temperatures at high potential |
US5950925A (en) | 1996-10-11 | 1999-09-14 | Ebara Corporation | Reactant gas ejector head |
US5882411A (en) | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
EP0854210B1 (en) * | 1996-12-19 | 2002-03-27 | Toshiba Ceramics Co., Ltd. | Vapor deposition apparatus for forming thin film |
US6616767B2 (en) | 1997-02-12 | 2003-09-09 | Applied Materials, Inc. | High temperature ceramic heater assembly with RF capability |
JP3341619B2 (ja) | 1997-03-04 | 2002-11-05 | 東京エレクトロン株式会社 | 成膜装置 |
US6106625A (en) | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6063441A (en) * | 1997-12-02 | 2000-05-16 | Applied Materials, Inc. | Processing chamber and method for confining plasma |
US6112697A (en) | 1998-02-19 | 2000-09-05 | Micron Technology, Inc. | RF powered plasma enhanced chemical vapor deposition reactor and methods |
US6289842B1 (en) | 1998-06-22 | 2001-09-18 | Structured Materials Industries Inc. | Plasma enhanced chemical vapor deposition system |
US6190732B1 (en) | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
US6454860B2 (en) | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
JP2000183029A (ja) | 1998-12-17 | 2000-06-30 | Sony Corp | ドライアッシング装置 |
US6409837B1 (en) | 1999-01-13 | 2002-06-25 | Tokyo Electron Limited | Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor |
US6499425B1 (en) | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
JP2000290777A (ja) | 1999-04-07 | 2000-10-17 | Tokyo Electron Ltd | ガス処理装置、バッフル部材、及びガス処理方法 |
KR100302609B1 (ko) | 1999-05-10 | 2001-09-13 | 김영환 | 온도가변 가스 분사 장치 |
US6565661B1 (en) | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
US6415736B1 (en) | 1999-06-30 | 2002-07-09 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6205869B1 (en) | 1999-08-12 | 2001-03-27 | Sentry Equipment Corporation | Apparatus and method for sampling fluid from reactor vessel |
US6451157B1 (en) | 1999-09-23 | 2002-09-17 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6364949B1 (en) | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
US6537420B2 (en) | 1999-12-17 | 2003-03-25 | Texas Instruments Incorporated | Method and apparatus for restricting process fluid flow within a showerhead assembly |
KR100722592B1 (ko) | 1999-12-22 | 2007-05-28 | 아익스트론 아게 | 화학 기상 증착 반응기 |
US20020134507A1 (en) | 1999-12-22 | 2002-09-26 | Silicon Valley Group, Thermal Systems Llc | Gas delivery metering tube |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6237528B1 (en) | 2000-01-24 | 2001-05-29 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
DE10007059A1 (de) | 2000-02-16 | 2001-08-23 | Aixtron Ag | Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung |
US6444039B1 (en) | 2000-03-07 | 2002-09-03 | Simplus Systems Corporation | Three-dimensional showerhead apparatus |
US6635117B1 (en) * | 2000-04-26 | 2003-10-21 | Axcelis Technologies, Inc. | Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system |
US6502530B1 (en) | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
KR100406174B1 (ko) | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드 |
JP3578398B2 (ja) | 2000-06-22 | 2004-10-20 | 古河スカイ株式会社 | 成膜用ガス分散プレート及びその製造方法 |
JP4567148B2 (ja) | 2000-06-23 | 2010-10-20 | 東京エレクトロン株式会社 | 薄膜形成装置 |
US6890861B1 (en) | 2000-06-30 | 2005-05-10 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
US7223676B2 (en) | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
JP2002069650A (ja) | 2000-08-31 | 2002-03-08 | Applied Materials Inc | 気相堆積方法及び装置並びに半導体装置の製造方法及び装置 |
DE10043601A1 (de) | 2000-09-01 | 2002-03-14 | Aixtron Ag | Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten |
US6379056B1 (en) | 2000-09-12 | 2002-04-30 | Tokyo Electron Limited | Substrate processing apparatus |
US20040002346A1 (en) * | 2000-12-14 | 2004-01-01 | John Santhoff | Ultra-wideband geographic location system and method |
WO2002058126A1 (fr) | 2001-01-22 | 2002-07-25 | Tokyo Electron Limited | Dispositif et procede de traitement |
KR100676979B1 (ko) | 2001-02-09 | 2007-02-01 | 동경 엘렉트론 주식회사 | 성막 장치 |
US20020144783A1 (en) | 2001-04-05 | 2002-10-10 | Applied Materials, Inc. | Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning |
US6761796B2 (en) | 2001-04-06 | 2004-07-13 | Axcelis Technologies, Inc. | Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing |
JP5079949B2 (ja) | 2001-04-06 | 2012-11-21 | 東京エレクトロン株式会社 | 処理装置および処理方法 |
EP1391140B1 (en) | 2001-04-30 | 2012-10-10 | Lam Research Corporation | Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support |
KR100400044B1 (ko) | 2001-07-16 | 2003-09-29 | 삼성전자주식회사 | 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 |
EP1421606A4 (en) | 2001-08-06 | 2008-03-05 | Genitech Co Ltd | PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS |
US20030047282A1 (en) | 2001-09-10 | 2003-03-13 | Yasumi Sago | Surface processing apparatus |
US6986324B2 (en) | 2001-10-19 | 2006-01-17 | Hydropac/Lab Products, Inc. | Fluid delivery valve system and method |
JP4121269B2 (ja) | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
US6773507B2 (en) | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US6793733B2 (en) | 2002-01-25 | 2004-09-21 | Applied Materials Inc. | Gas distribution showerhead |
EP1485513A2 (en) | 2002-03-08 | 2004-12-15 | Sundew Technologies, LLC | Ald method and apparatus |
JP2003271218A (ja) | 2002-03-15 | 2003-09-26 | Toshiba Corp | 半導体製造装置、半導体製造システム及び基板処理方法 |
US6883733B1 (en) | 2002-03-28 | 2005-04-26 | Novellus Systems, Inc. | Tapered post, showerhead design to improve mixing on dual plenum showerheads |
US6921556B2 (en) | 2002-04-12 | 2005-07-26 | Asm Japan K.K. | Method of film deposition using single-wafer-processing type CVD |
US6936551B2 (en) | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
WO2003097996A1 (en) * | 2002-05-21 | 2003-11-27 | Sofitech N.V. | Hydraulic fracturing method |
US6821347B2 (en) | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
JP4186536B2 (ja) | 2002-07-18 | 2008-11-26 | 松下電器産業株式会社 | プラズマ処理装置 |
US6921702B2 (en) * | 2002-07-30 | 2005-07-26 | Micron Technology Inc. | Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics |
US7543547B1 (en) | 2002-07-31 | 2009-06-09 | Lam Research Corporation | Electrode assembly for plasma processing apparatus |
US20040050326A1 (en) | 2002-09-12 | 2004-03-18 | Thilderkvist Karin Anna Lena | Apparatus and method for automatically controlling gas flow in a substrate processing system |
US20040050325A1 (en) | 2002-09-12 | 2004-03-18 | Samoilov Arkadii V. | Apparatus and method for delivering process gas to a substrate processing system |
JP2004115837A (ja) | 2002-09-24 | 2004-04-15 | Hitachi Cable Ltd | Cvd膜の製造方法及びその製造装置 |
US6716287B1 (en) | 2002-10-18 | 2004-04-06 | Applied Materials Inc. | Processing chamber with flow-restricting ring |
KR100490049B1 (ko) | 2003-04-14 | 2005-05-17 | 삼성전자주식회사 | 일체형 디퓨저 프레임을 가지는 cvd 장치 |
US7296534B2 (en) | 2003-04-30 | 2007-11-20 | Tokyo Electron Limited | Hybrid ball-lock attachment apparatus |
JP4493932B2 (ja) | 2003-05-13 | 2010-06-30 | 東京エレクトロン株式会社 | 上部電極及びプラズマ処理装置 |
US20040235299A1 (en) | 2003-05-22 | 2004-11-25 | Axcelis Technologies, Inc. | Plasma ashing apparatus and endpoint detection process |
US8580076B2 (en) * | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
JP4115337B2 (ja) | 2003-05-30 | 2008-07-09 | 俊夫 後藤 | プラズマ処理装置 |
US20070248515A1 (en) | 2003-12-01 | 2007-10-25 | Tompa Gary S | System and Method for Forming Multi-Component Films |
US6983892B2 (en) | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20050221000A1 (en) | 2004-03-31 | 2005-10-06 | Tokyo Electron Limited | Method of forming a metal layer |
US8317968B2 (en) | 2004-04-30 | 2012-11-27 | Lam Research Corporation | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
US7712434B2 (en) | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
US20060005767A1 (en) | 2004-06-28 | 2006-01-12 | Applied Materials, Inc. | Chamber component having knurled surface |
US20060027169A1 (en) | 2004-08-06 | 2006-02-09 | Tokyo Electron Limited | Method and system for substrate temperature profile control |
US7449416B2 (en) | 2004-09-01 | 2008-11-11 | Axcelis Technologies, Inc. | Apparatus and plasma ashing process for increasing photoresist removal rate |
JP4633425B2 (ja) | 2004-09-17 | 2011-02-16 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
KR20060059305A (ko) | 2004-11-26 | 2006-06-01 | 삼성전자주식회사 | 반도체 공정 장비 |
KR100628888B1 (ko) | 2004-12-27 | 2006-09-26 | 삼성전자주식회사 | 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치 |
KR20060107683A (ko) * | 2005-04-11 | 2006-10-16 | 삼성전자주식회사 | 화학 기상 증착 장치 |
DE602006011877D1 (de) | 2005-04-18 | 2010-03-11 | Procter & Gamble | Verdünnte textilpflegemittel mit verdickern und textilpflegemittel zur verwendung in gegenwart anionischer einschleppungen |
JP4628900B2 (ja) * | 2005-08-24 | 2011-02-09 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US7641762B2 (en) | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
US20070119371A1 (en) | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US20070116872A1 (en) | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US20070116873A1 (en) | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
DE102005056324A1 (de) | 2005-11-25 | 2007-06-06 | Aixtron Ag | CVD-Reaktor mit auswechselbarer Prozesskammerdecke |
US8454749B2 (en) | 2005-12-19 | 2013-06-04 | Tokyo Electron Limited | Method and system for sealing a first assembly to a second assembly of a processing system |
US7679024B2 (en) | 2005-12-23 | 2010-03-16 | Lam Research Corporation | Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber |
US7740705B2 (en) | 2006-03-08 | 2010-06-22 | Tokyo Electron Limited | Exhaust apparatus configured to reduce particle contamination in a deposition system |
US7737035B1 (en) | 2006-03-31 | 2010-06-15 | Novellus Systems, Inc. | Dual seal deposition process chamber and process |
US20070246163A1 (en) | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Plasma reactor apparatus with independent capacitive and inductive plasma sources |
JP2008047869A (ja) | 2006-06-13 | 2008-02-28 | Hokuriku Seikei Kogyo Kk | シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法 |
JP4193883B2 (ja) | 2006-07-05 | 2008-12-10 | 住友電気工業株式会社 | 有機金属気相成長装置 |
CN101101887A (zh) | 2006-07-06 | 2008-01-09 | 通用电气公司 | 抗腐蚀的晶片处理设备及其制造方法 |
US8187679B2 (en) | 2006-07-29 | 2012-05-29 | Lotus Applied Technology, Llc | Radical-enhanced atomic layer deposition system and method |
JP2008088228A (ja) | 2006-09-29 | 2008-04-17 | Fujifilm Corp | インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物 |
US20080081114A1 (en) | 2006-10-03 | 2008-04-03 | Novellus Systems, Inc. | Apparatus and method for delivering uniform fluid flow in a chemical deposition system |
KR101480971B1 (ko) | 2006-10-10 | 2015-01-09 | 에이에스엠 아메리카, 인코포레이티드 | 전구체 전달 시스템 |
US8702866B2 (en) | 2006-12-18 | 2014-04-22 | Lam Research Corporation | Showerhead electrode assembly with gas flow modification for extended electrode life |
US7993457B1 (en) | 2007-01-23 | 2011-08-09 | Novellus Systems, Inc. | Deposition sub-chamber with variable flow |
US8128750B2 (en) | 2007-03-29 | 2012-03-06 | Lam Research Corporation | Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components |
US8568555B2 (en) | 2007-03-30 | 2013-10-29 | Tokyo Electron Limited | Method and apparatus for reducing substrate temperature variability |
US7862682B2 (en) | 2007-06-13 | 2011-01-04 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
JP5008478B2 (ja) | 2007-06-27 | 2012-08-22 | 東京エレクトロン株式会社 | 基板処理装置およびシャワーヘッド |
KR101206812B1 (ko) * | 2007-07-02 | 2012-11-30 | 삼성전자주식회사 | 잉크젯 프린트헤드 및 그 제조방법 |
US8021514B2 (en) | 2007-07-11 | 2011-09-20 | Applied Materials, Inc. | Remote plasma source for pre-treatment of substrates prior to deposition |
JP5422854B2 (ja) | 2007-08-31 | 2014-02-19 | 国立大学法人東北大学 | 半導体装置の製造方法 |
JP5058727B2 (ja) | 2007-09-06 | 2012-10-24 | 東京エレクトロン株式会社 | 天板構造及びこれを用いたプラズマ処理装置 |
JP5347294B2 (ja) | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5194125B2 (ja) | 2007-09-25 | 2013-05-08 | ラム リサーチ コーポレーション | シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法 |
JP2009088229A (ja) | 2007-09-28 | 2009-04-23 | Tokyo Electron Ltd | 成膜装置、成膜方法、記憶媒体及びガス供給装置 |
KR200454281Y1 (ko) | 2007-10-16 | 2011-06-23 | 노벨러스 시스템즈, 인코포레이티드 | 온도 제어 샤워헤드 |
SG152163A1 (en) | 2007-10-16 | 2009-05-29 | Novellus Systems Inc | Temperature controlled showerhead |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US8137467B2 (en) | 2007-10-16 | 2012-03-20 | Novellus Systems, Inc. | Temperature controlled showerhead |
US20090095218A1 (en) | 2007-10-16 | 2009-04-16 | Novellus Systems, Inc. | Temperature controlled showerhead |
CN101488446B (zh) | 2008-01-14 | 2010-09-01 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理设备及其气体分配装置 |
USD593640S1 (en) | 2008-01-31 | 2009-06-02 | Hansgrohe Ag | Showerhead |
US20090260571A1 (en) | 2008-04-16 | 2009-10-22 | Novellus Systems, Inc. | Showerhead for chemical vapor deposition |
US8679288B2 (en) | 2008-06-09 | 2014-03-25 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
US8147648B2 (en) | 2008-08-15 | 2012-04-03 | Lam Research Corporation | Composite showerhead electrode assembly for a plasma processing apparatus |
JP5268626B2 (ja) | 2008-12-26 | 2013-08-21 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US8293013B2 (en) | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
KR20100093347A (ko) | 2009-02-16 | 2010-08-25 | 엘지전자 주식회사 | 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법 |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
US20100263588A1 (en) | 2009-04-15 | 2010-10-21 | Gan Zhiyin | Methods and apparatus for epitaxial growth of semiconductor materials |
KR101112974B1 (ko) | 2009-06-15 | 2012-03-02 | 주식회사 테스 | 대면적 기판 처리 장치 |
WO2011009002A2 (en) | 2009-07-15 | 2011-01-20 | Applied Materials, Inc. | Flow control features of cvd chambers |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
CN102414801A (zh) | 2009-08-27 | 2012-04-11 | 应用材料公司 | 在原位腔室清洁后的处理腔室去污方法 |
JP5457109B2 (ja) | 2009-09-02 | 2014-04-02 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8216640B2 (en) | 2009-09-25 | 2012-07-10 | Hermes-Epitek Corporation | Method of making showerhead for semiconductor processing apparatus |
US9034142B2 (en) | 2009-12-18 | 2015-05-19 | Novellus Systems, Inc. | Temperature controlled showerhead for high temperature operations |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
TWI563582B (en) | 2010-06-03 | 2016-12-21 | Novellus Systems Inc | Method of improving film non-uniformity and throughput |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
CN103109357B (zh) | 2010-10-19 | 2016-08-24 | 应用材料公司 | 用于紫外线纳米固化腔室的石英喷洒器 |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
US8733280B2 (en) | 2010-12-20 | 2014-05-27 | Intermolecular, Inc. | Showerhead for processing chamber |
KR101306315B1 (ko) | 2011-01-11 | 2013-09-09 | 주식회사 디엠에스 | 화학기상증착 장치 |
US9441296B2 (en) | 2011-03-04 | 2016-09-13 | Novellus Systems, Inc. | Hybrid ceramic showerhead |
US20120227665A1 (en) | 2011-03-11 | 2012-09-13 | Applied Materials, Inc. | Apparatus for monitoring and controlling substrate temperature |
US8371567B2 (en) | 2011-04-13 | 2013-02-12 | Novellus Systems, Inc. | Pedestal covers |
US9695510B2 (en) | 2011-04-21 | 2017-07-04 | Kurt J. Lesker Company | Atomic layer deposition apparatus and process |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US8562785B2 (en) | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
CN102953050B (zh) | 2011-08-26 | 2014-06-18 | 杭州士兰明芯科技有限公司 | 大直径mocvd反应器的喷淋头 |
US8960235B2 (en) | 2011-10-28 | 2015-02-24 | Applied Materials, Inc. | Gas dispersion apparatus |
US20130220975A1 (en) | 2012-02-27 | 2013-08-29 | Rajinder Dhindsa | Hybrid plasma processing systems |
US9058960B2 (en) | 2012-05-09 | 2015-06-16 | Lam Research Corporation | Compression member for use in showerhead electrode assembly |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9388494B2 (en) * | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
US9121097B2 (en) | 2012-08-31 | 2015-09-01 | Novellus Systems, Inc. | Variable showerhead flow by varying internal baffle conductance |
JP6136613B2 (ja) | 2012-09-21 | 2017-05-31 | 東京エレクトロン株式会社 | プラズマ処理方法 |
JP6123208B2 (ja) | 2012-09-28 | 2017-05-10 | 東京エレクトロン株式会社 | 成膜装置 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8975817B2 (en) | 2012-10-17 | 2015-03-10 | Lam Research Corporation | Pressure controlled heat pipe temperature control plate |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
JP6078354B2 (ja) * | 2013-01-24 | 2017-02-08 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9314854B2 (en) | 2013-01-30 | 2016-04-19 | Lam Research Corporation | Ductile mode drilling methods for brittle components of plasma processing apparatuses |
US20140235069A1 (en) | 2013-02-15 | 2014-08-21 | Novellus Systems, Inc. | Multi-plenum showerhead with temperature control |
US9449795B2 (en) * | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
US9353439B2 (en) | 2013-04-05 | 2016-05-31 | Lam Research Corporation | Cascade design showerhead for transient uniformity |
US9123510B2 (en) * | 2013-06-12 | 2015-09-01 | ASM IP Holding, B.V. | Method for controlling in-plane uniformity of substrate processed by plasma-assisted process |
US20150004798A1 (en) | 2013-06-28 | 2015-01-01 | Lam Research Corporation | Chemical deposition chamber having gas seal |
US9490149B2 (en) | 2013-07-03 | 2016-11-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
CN103521956A (zh) | 2013-10-10 | 2014-01-22 | 光达光电设备科技(嘉兴)有限公司 | 分离式喷淋头结构 |
US10741365B2 (en) | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US10107490B2 (en) | 2014-06-30 | 2018-10-23 | Lam Research Corporation | Configurable liquid precursor vaporizer |
US9793096B2 (en) | 2014-09-12 | 2017-10-17 | Lam Research Corporation | Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity |
US20160343595A1 (en) | 2015-05-19 | 2016-11-24 | Lam Research Corporation | Corrosion resistant gas distribution manifold with thermally controlled faceplate |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
-
2015
- 2015-09-10 US US14/850,816 patent/US10378107B2/en active Active
-
2016
- 2016-05-17 JP JP2016098409A patent/JP6912164B2/ja active Active
- 2016-05-19 TW TW105115413A patent/TWI713525B/zh active
- 2016-05-19 KR KR1020160061452A patent/KR102357417B1/ko active IP Right Grant
- 2016-05-20 SG SG10202102836YA patent/SG10202102836YA/en unknown
- 2016-05-20 SG SG10201604054PA patent/SG10201604054PA/en unknown
- 2016-05-23 CN CN201610345779.XA patent/CN106167895B/zh active Active
-
2021
- 2021-04-21 JP JP2021071508A patent/JP7181337B2/ja active Active
-
2022
- 2022-01-25 KR KR1020220011054A patent/KR102627544B1/ko active IP Right Grant
- 2022-11-17 JP JP2022183800A patent/JP7313528B2/ja active Active
-
2023
- 2023-11-06 KR KR1020230151477A patent/KR20230157908A/ko not_active Application Discontinuation
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200902751A (en) * | 2007-03-30 | 2009-01-16 | Lam Res Corp | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
US9017481B1 (en) * | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
Also Published As
Publication number | Publication date |
---|---|
CN106167895A (zh) | 2016-11-30 |
KR102627544B1 (ko) | 2024-01-19 |
KR20230157908A (ko) | 2023-11-17 |
SG10201604054PA (en) | 2016-12-29 |
TW201712144A (zh) | 2017-04-01 |
JP2023018006A (ja) | 2023-02-07 |
KR20220017968A (ko) | 2022-02-14 |
KR20160137404A (ko) | 2016-11-30 |
JP2021106293A (ja) | 2021-07-26 |
JP7181337B2 (ja) | 2022-11-30 |
US10378107B2 (en) | 2019-08-13 |
US20160340782A1 (en) | 2016-11-24 |
SG10202102836YA (en) | 2021-04-29 |
KR102357417B1 (ko) | 2022-01-27 |
CN106167895B (zh) | 2020-05-01 |
JP6912164B2 (ja) | 2021-07-28 |
JP2016219803A (ja) | 2016-12-22 |
JP7313528B2 (ja) | 2023-07-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI713525B (zh) | 用以達成改良的流動均勻性之具有面板孔的小體積噴淋頭 | |
TWI681820B (zh) | 使用於半導體處理設備中的噴淋頭、半導體處理站及半導體處理工具 | |
JP7171165B2 (ja) | 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム | |
CN107699869B (zh) | 通过在整个沉积过程中改变晶片温度来抑制界面反应 | |
CN107768275B (zh) | 衬底处理系统和处理在衬底处理系统中的衬底的方法 | |
TWI680509B (zh) | 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 | |
KR20210150330A (ko) | 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들 | |
TW201509537A (zh) | 用於暫態非均勻性之級聯設計噴淋頭 | |
US20190122871A1 (en) | Purge and pumping structures arranged beneath substrate plane to reduce defects | |
JP2018078284A (ja) | 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部 | |
KR20230073144A (ko) | 트루 라디칼 (true radical) 프로세싱을 위한 리모트 플라즈마 아키텍처 | |
TWI849145B (zh) | 基板處理系統用的縮小直徑承載環硬件 | |
TW202430694A (zh) | 用於腔室的環形泵送 | |
WO2024076478A1 (en) | Showerhead gas inlet mixer | |
WO2023077002A1 (en) | Showerhead with hole sizes for radical species delivery | |
KR20210109640A (ko) | 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템 |