SG10202102836YA - Low volume showerhead with faceplate holes for improved flow uniformity - Google Patents

Low volume showerhead with faceplate holes for improved flow uniformity

Info

Publication number
SG10202102836YA
SG10202102836YA SG10202102836YA SG10202102836YA SG10202102836YA SG 10202102836Y A SG10202102836Y A SG 10202102836YA SG 10202102836Y A SG10202102836Y A SG 10202102836YA SG 10202102836Y A SG10202102836Y A SG 10202102836YA SG 10202102836Y A SG10202102836Y A SG 10202102836YA
Authority
SG
Singapore
Prior art keywords
low volume
improved flow
flow uniformity
volume showerhead
faceplate holes
Prior art date
Application number
SG10202102836YA
Inventor
Ramesh Chandrasekharan
Saangrut Sangplung
Shankar Swaminathan
Frank L Pasquale
Hu Kang
Adrien Lavoie
Edward Augustyniak
Yukinori Sakiyama
Chloe Baldasseroni
Seshasayee Varadarajan
Basha Sajjad
Jennifer L Petraglia
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG10202102836YA publication Critical patent/SG10202102836YA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
SG10202102836YA 2015-05-22 2016-05-20 Low volume showerhead with faceplate holes for improved flow uniformity SG10202102836YA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562165761P 2015-05-22 2015-05-22
US14/850,816 US10378107B2 (en) 2015-05-22 2015-09-10 Low volume showerhead with faceplate holes for improved flow uniformity

Publications (1)

Publication Number Publication Date
SG10202102836YA true SG10202102836YA (en) 2021-04-29

Family

ID=57324324

Family Applications (2)

Application Number Title Priority Date Filing Date
SG10202102836YA SG10202102836YA (en) 2015-05-22 2016-05-20 Low volume showerhead with faceplate holes for improved flow uniformity
SG10201604054PA SG10201604054PA (en) 2015-05-22 2016-05-20 Low volume showerhead with faceplate holes for improved flow uniformity

Family Applications After (1)

Application Number Title Priority Date Filing Date
SG10201604054PA SG10201604054PA (en) 2015-05-22 2016-05-20 Low volume showerhead with faceplate holes for improved flow uniformity

Country Status (6)

Country Link
US (1) US10378107B2 (en)
JP (3) JP6912164B2 (en)
KR (3) KR102357417B1 (en)
CN (1) CN106167895B (en)
SG (2) SG10202102836YA (en)
TW (1) TWI713525B (en)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP5697389B2 (en) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 Electrode plate for plasma etching and plasma etching processing apparatus
CN106884157B (en) 2011-03-04 2019-06-21 诺发系统公司 Mixed type ceramic showerhead
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) * 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
WO2018106627A1 (en) * 2016-12-08 2018-06-14 Applied Materials, Inc. Temporal atomic layer deposition processing chamber
KR102523730B1 (en) * 2016-11-14 2023-04-19 도쿄엘렉트론가부시키가이샤 Dual-frequency surface wave plasma source
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
CN107516625A (en) * 2017-07-13 2017-12-26 江苏鲁汶仪器有限公司 A kind of spray head of plasma etching system
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR102404061B1 (en) 2017-11-16 2022-05-31 삼성전자주식회사 Deposition apparatus including upper shower head and lower shower head
KR102538177B1 (en) 2017-11-16 2023-05-31 삼성전자주식회사 Deposition apparatus including upper shower head and lower shower head
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11149350B2 (en) * 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
KR102474847B1 (en) * 2018-04-25 2022-12-06 삼성전자주식회사 Gas injector and wafer processing apparatus having the same
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
JP2022523541A (en) * 2019-03-08 2022-04-25 アプライド マテリアルズ インコーポレイテッド Porous shower head for processing chamber
JP7058239B2 (en) * 2019-03-14 2022-04-21 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
CN110170433A (en) * 2019-05-28 2019-08-27 昆山国显光电有限公司 A kind of Minton dryer
DE102019119019A1 (en) * 2019-07-12 2021-01-14 Aixtron Se Gas inlet element for a CVD reactor
KR20230156441A (en) 2019-08-16 2023-11-14 램 리써치 코포레이션 Spatially tunable deposition to compensate within wafer differential bow
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
JP2022546404A (en) * 2019-08-28 2022-11-04 ラム リサーチ コーポレーション deposition of metal
JP7313269B2 (en) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 Plasma processing equipment
US20230044064A1 (en) * 2020-01-06 2023-02-09 Lam Research Corporation Showerhead with faceplate having internal contours
CN111403256B (en) * 2020-03-24 2022-03-22 北京北方华创微电子装备有限公司 Semiconductor processing device
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
CN113802113A (en) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 Plasma generating device for improving stability of reflected power in reaction process
WO2021257462A1 (en) * 2020-06-15 2021-12-23 Lam Research Corporation Showerhead faceplates with angled gas distribution passages for semiconductor processing tools
TWI727839B (en) * 2020-06-24 2021-05-11 天虹科技股份有限公司 Spray head structure of semiconductor atomic layer deposition device
US20220122811A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Electric arc mitigating faceplate
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
CN112323043A (en) * 2020-10-30 2021-02-05 泉芯集成电路制造(济南)有限公司 Gas distributor and atomic layer deposition reaction equipment
CN114098371B (en) * 2021-12-02 2023-02-28 郑州航空工业管理学院 Intelligent control's industrial design display device
CN114457321B (en) * 2022-01-21 2023-03-28 深圳市纳设智能装备有限公司 Air inlet device and CVD equipment
WO2023177570A1 (en) * 2022-03-15 2023-09-21 Lam Research Corporation Showerhead for substrate processing systems
CN218146933U (en) * 2022-03-25 2022-12-27 朗姆研究公司 Substrate processing system, showerhead for substrate processing system and showerhead assembly
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Family Cites Families (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (en) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPS6187319A (en) * 1984-10-05 1986-05-02 Hitachi Ltd Chemical vapor phase film forming equipment using plasma
JPH067542B2 (en) 1984-11-22 1994-01-26 株式会社日立製作所 Manufacturing equipment
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
JP3147392B2 (en) 1991-03-04 2001-03-19 宇部サイコン株式会社 Thermoplastic resin composition
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
FR2682047B1 (en) 1991-10-07 1993-11-12 Commissariat A Energie Atomique GAS PHASE CHEMICAL PROCESSING REACTOR.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (en) 1993-08-03 2001-06-11 松下電器産業株式会社 Plasma CVD method
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
JPH07240404A (en) * 1994-03-02 1995-09-12 Fujitsu Ltd Plasma processing apparatus
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
EP0753082B1 (en) 1994-03-29 1999-07-07 Schott Glas Pcvd process and device for coating domed substrates
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
EP0704551B1 (en) * 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
KR100319468B1 (en) 1995-06-30 2002-04-22 히가시 데쓰로 Plasma Treatment Method
JPH0945624A (en) 1995-07-27 1997-02-14 Tokyo Electron Ltd Leaf-type heat treating system
JP2879887B2 (en) * 1995-08-24 1999-04-05 東京エレクトロン株式会社 Plasma processing method
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (en) 1995-10-17 1997-02-13 Zimmer Johannes Flow dividing and reshaping bodies
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (en) 1996-07-17 2002-07-29 松下電器産業株式会社 Plasma processing equipment
JPH1050678A (en) * 1996-08-02 1998-02-20 Ibiden Co Ltd Electrode plate for plasma etching
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (en) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 Reaction gas ejection head
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (en) 1997-03-04 2002-11-05 東京エレクトロン株式会社 Film forming equipment
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP2000183029A (en) * 1998-12-17 2000-06-30 Sony Corp Dry ashing apparatus
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
JP2000290777A (en) 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
KR100302609B1 (en) 1999-05-10 2001-09-13 김영환 Temperature controllable gas distributor
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6205869B1 (en) 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
KR100722592B1 (en) 1999-12-22 2007-05-28 아익스트론 아게 Chemical vapor deposition reactor and process chamber for said reactor
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (en) 2000-02-16 2001-08-23 Aixtron Ag Method and device for producing coated substrates by means of condensation coating
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100406174B1 (en) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 Showerhead used chemically enhanced chemical vapor deposition equipment
JP3578398B2 (en) 2000-06-22 2004-10-20 古河スカイ株式会社 Gas dispersion plate for film formation and method of manufacturing the same
JP4567148B2 (en) 2000-06-23 2010-10-20 東京エレクトロン株式会社 Thin film forming equipment
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
JP2002069650A (en) * 2000-08-31 2002-03-08 Applied Materials Inc Method and apparatus for vapor phase deposition, and method and device for manufacturing semiconductor device
DE10043601A1 (en) 2000-09-01 2002-03-14 Aixtron Ag Device and method for depositing, in particular, crystalline layers on, in particular, crystalline substrates
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
US20040002346A1 (en) * 2000-12-14 2004-01-01 John Santhoff Ultra-wideband geographic location system and method
KR100516844B1 (en) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 Device and method for treatment
KR101004173B1 (en) 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 Film forming device
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
JP5079949B2 (en) 2001-04-06 2012-11-21 東京エレクトロン株式会社 Processing apparatus and processing method
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
KR100400044B1 (en) 2001-07-16 2003-09-29 삼성전자주식회사 Shower head of wafer treatment apparatus having gap controller
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US20030047282A1 (en) 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (en) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 Plasma CVD apparatus and method for performing self-cleaning
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP2003271218A (en) 2002-03-15 2003-09-26 Toshiba Corp Apparatus and system for manufacturing semiconductor, and substrate processing method
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
AU2003240679A1 (en) * 2002-05-21 2003-12-02 Sofitech N.V. Hydraulic fracturing method
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (en) 2002-07-18 2008-11-26 松下電器産業株式会社 Plasma processing equipment
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
JP2004115837A (en) * 2002-09-24 2004-04-15 Hitachi Cable Ltd Cvd film manufacturing method and cvd film manufacturing apparatus
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100490049B1 (en) 2003-04-14 2005-05-17 삼성전자주식회사 Chemical vapor deposition apparatus having a single body type diffuser frame
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (en) 2003-05-13 2010-06-30 東京エレクトロン株式会社 Upper electrode and plasma processing apparatus
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP4115337B2 (en) * 2003-05-30 2008-07-09 俊夫 後藤 Plasma processing equipment
WO2005054537A2 (en) 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
CN102610481B (en) 2004-09-01 2016-04-13 朗姆研究公司 For increasing device and the plasma ashing method of photoresistance removal rate
JP4633425B2 (en) 2004-09-17 2011-02-16 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR20060059305A (en) 2004-11-26 2006-06-01 삼성전자주식회사 Semiconductor processing equipment
KR100628888B1 (en) 2004-12-27 2006-09-26 삼성전자주식회사 Apparatus for controlling temperature of a showerhead and apparatus for forming a layer having the same
KR20060107683A (en) * 2005-04-11 2006-10-16 삼성전자주식회사 Chemical vapor deposition apparatus
EP1883692B1 (en) 2005-04-18 2010-01-20 The Procter and Gamble Company Dilute fabric care compositions comprising thickeners and fabric care compositions for use in the presence of anionic carry-over
JP4628900B2 (en) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ Plasma processing equipment
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (en) 2005-11-25 2007-06-06 Aixtron Ag CVD reactor with exchangeable process chamber ceiling
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
JP2008047869A (en) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk Shower plate and its fabrication process, plasma processing equipment employing it, plasma processing method and process for fabricating electronic device
JP4193883B2 (en) 2006-07-05 2008-12-10 住友電気工業株式会社 Metalorganic vapor phase epitaxy system
CN101101887A (en) 2006-07-06 2008-01-09 通用电气公司 Corrosion resistant wafer processing apparatus and method for making thereof
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (en) 2006-09-29 2008-04-17 Fujifilm Corp Ink composition for inkjet recording, and image-forming method and recorded article using the composition
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5073751B2 (en) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド Precursor delivery system
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5008478B2 (en) 2007-06-27 2012-08-22 東京エレクトロン株式会社 Substrate processing apparatus and shower head
KR101206812B1 (en) * 2007-07-02 2012-11-30 삼성전자주식회사 Inkjet printhead and method of manufacturing thereof
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (en) 2007-08-31 2014-02-19 国立大学法人東北大学 Manufacturing method of semiconductor device
JP5058727B2 (en) 2007-09-06 2012-10-24 東京エレクトロン株式会社 Top plate structure and plasma processing apparatus using the same
JP5347294B2 (en) 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
KR101519684B1 (en) 2007-09-25 2015-05-12 램 리써치 코포레이션 Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088229A (en) 2007-09-28 2009-04-23 Tokyo Electron Ltd Film-forming apparatus, film forming method, storage medium, and gas supply apparatus
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
KR200454281Y1 (en) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (en) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma processing apparatus and gas dispensing apparatus thereof
USD590477S1 (en) 2008-01-31 2009-04-14 Hansgrohe Ag Showerhead
US20090260571A1 (en) 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5268626B2 (en) * 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ Plasma processing equipment
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (en) 2009-02-16 2010-08-25 엘지전자 주식회사 Solar cell, method for manufacturing of solar cell and apparatus for manufacturing of solar cell, method for deposition of thin film layer
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (en) 2009-06-15 2012-03-02 주식회사 테스 Apparatus for processing a large area substrate
CN105088191B (en) 2009-07-15 2018-07-13 应用材料公司 The fluid control features structure of CVD chambers
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP5457109B2 (en) 2009-09-02 2014-04-02 東京エレクトロン株式会社 Plasma processing equipment
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012054206A2 (en) 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (en) 2011-01-11 2013-09-09 주식회사 디엠에스 Apparatus for chemical vapor deposition
CN106884157B (en) 2011-03-04 2019-06-21 诺发系统公司 Mixed type ceramic showerhead
US20120227665A1 (en) 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
CN102953050B (en) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 Large-diameter sprayer of MOCVD (metal organic chemical vapor deposition) reactor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
JP6136613B2 (en) 2012-09-21 2017-05-31 東京エレクトロン株式会社 Plasma processing method
JP6123208B2 (en) 2012-09-28 2017-05-10 東京エレクトロン株式会社 Deposition equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6078354B2 (en) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 Plasma processing equipment
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9123510B2 (en) * 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
CN103521956A (en) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 Separated spray head structure
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Also Published As

Publication number Publication date
JP7313528B2 (en) 2023-07-24
CN106167895B (en) 2020-05-01
JP7181337B2 (en) 2022-11-30
KR20230157908A (en) 2023-11-17
SG10201604054PA (en) 2016-12-29
JP6912164B2 (en) 2021-07-28
KR20160137404A (en) 2016-11-30
JP2021106293A (en) 2021-07-26
JP2016219803A (en) 2016-12-22
US20160340782A1 (en) 2016-11-24
US10378107B2 (en) 2019-08-13
KR102627544B1 (en) 2024-01-19
CN106167895A (en) 2016-11-30
TWI713525B (en) 2020-12-21
JP2023018006A (en) 2023-02-07
KR20220017968A (en) 2022-02-14
TW201712144A (en) 2017-04-01
KR102357417B1 (en) 2022-01-27

Similar Documents

Publication Publication Date Title
SG10202102836YA (en) Low volume showerhead with faceplate holes for improved flow uniformity
IL265940B (en) Iot provisioning service
ZA201706686B (en) Telecommunications distribution elements
KR102217723B9 (en) - geo-fence authorization provisioning
SG10201503253QA (en) Low volume showerhead with porous baffle
HUE054230T2 (en) Telecommunications distribution elements
SG11201608640QA (en) Showerhead design
SG10201910895TA (en) Media mixing chamber
EP3353244A4 (en) Polymeric aminoacrylates
EP3237992A4 (en) Liquid cooling with a cooling chamber
PL3154713T3 (en) Caulking gun
KR101581877B9 (en) Assembly block set
GB201603883D0 (en) Chamber
SG10201603626RA (en) Methods for increasing the rate of electrochemical deposition
GB201409635D0 (en) Service provisioning
GB2589043B (en) Inflow channel
EP3335015A4 (en) Orifice plate assembly
ZA201800978B (en) Baffle structure for channel
GB201421167D0 (en) Coordinating telecommunications networks
EP3181780C0 (en) Supply channel
GB201801769D0 (en) Showerhead adapter
GB201610723D0 (en) Straight plate
GB201514812D0 (en) Spray dying
GB2539452B (en) Nozzle assembly
GB201522587D0 (en) Caulking Gun