TW201509537A - 用於暫態非均勻性之級聯設計噴淋頭 - Google Patents

用於暫態非均勻性之級聯設計噴淋頭 Download PDF

Info

Publication number
TW201509537A
TW201509537A TW103112368A TW103112368A TW201509537A TW 201509537 A TW201509537 A TW 201509537A TW 103112368 A TW103112368 A TW 103112368A TW 103112368 A TW103112368 A TW 103112368A TW 201509537 A TW201509537 A TW 201509537A
Authority
TW
Taiwan
Prior art keywords
annular baffle
baffle
annular
diameter
distributing
Prior art date
Application number
TW103112368A
Other languages
English (en)
Other versions
TWI640365B (zh
Inventor
Dhritiman S Kashyap
David G Cohen
Davinder Sharma
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201509537A publication Critical patent/TW201509537A/zh
Application granted granted Critical
Publication of TWI640365B publication Critical patent/TWI640365B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明提供一種用於半導體處理操作中的設備,用以將處理氣體分配遍及半導體晶圓。該設備可包含一或更多環形擋板,該一或更多環形擋板係配置在該設備之充氣部容積內的環形擋板層之堆疊中。各環形擋板可具有實質上相當於其上方之環形擋板層中的擋板之內徑或外徑的中間直徑。該等環形擋板可以級聯的方式加以配置。

Description

用於暫態非均勻性之級聯設計噴淋頭
本發明係關於一種用於半導體處理操作中的設備,尤有關於用於暫態非均勻性之級聯設計噴淋頭。
半導體處理機台通常包含設計為將處理氣體以相對均勻的方式分配遍及整片半導體基板或晶圓的元件。此種元件在業界中通常被稱為「噴淋頭」。噴淋頭通常包含面板,該面板係朝向某些種類的充氣部容積(plenum volume)。該面板可包含複數個通孔,該等通孔允許充氣部容積中的氣體流動通過面板並進到介於基板與面板之間(或介於支撐晶圓的晶圓支撐物與面板之間)的反應空間中。通孔通常係配置為使遍及整片晶圓的氣體分配能導致實質上均勻的晶圓處理。
本說明書中所描述之標的的一或更多實施方式之細節,係在以下說明及隨附圖式中提出。其他的特徵、實施態樣、及優點將由說明內容、圖式、及申請專利範圍而變得顯而易見。需注意的是,下列圖式之相對尺寸可能並非按比例繪製,除非特別指明其為按比例繪製的圖式。
在某些實施方式中,可提供用於將氣體分配遍及整片半導體晶圓的設備。該設備可包含充氣部容積,該充氣部容積具有第一表面及面向該第一表面的第二表面。第一表面及第二表面可至少部分地定義充氣部容積。該設備亦可包含一或更多氣體入口,該一或更多氣體入口通過該第一表面及第一環形擋板而進到充氣部容積中。第一環形擋板可實質上以該一或更多氣體入口為中心且可實質上平行於第一表面。第一環形擋板可位於第一表面與第二表面之間。
在該設備之若干進一步的實施方式中,該設備可更包含圓形擋板。該圓形擋板可實質上以該一或更多氣體入口為中心、實質上平行於第一表面、且偏離第一表面第一距離。第一環形擋板可偏離圓形擋板第二距離,且圓形擋板可介於第一環形擋板與第一表面之間。
在該設備之若干進一步的實施方式中,圓形擋板可具有一直徑,第一環形擋板可具有中間直徑,而第一環形擋板的中間直徑可實質上相當於圓形擋板的直徑。在一些此種實施方式中,第一環形擋板的中間直徑可落在圓形擋板之直徑的10%之內。
在該設備之若干進一步的實施方式中,該設備可進一步包含橫跨於第一表面與第二表面之間的一或更多周圍表面。該一或更多周圍表面之一者可具有一直徑,且第一環形擋板可具有外徑。圓形擋板的直徑可實質上為該周圍表面之直徑的一半,且第一環形擋板的外徑可實質上相當於圓形擋板及周圍表面的直徑之總和的一半。
在該設備之若干進一步的實施方式中,該設備可更包含第二環形擋板及第三環形擋板。第二環形擋板及第三環形擋板二者均可實質上以該一或更多氣體入口為中心、實質上平行於第一表面、且偏離第一環形擋板第三距離。第二環形擋板及第三環形擋板可位於第一環形擋板與第二表面之間,而第一環形擋板可介於圓形擋板與第二環形擋板之間、且介於圓形擋板與第三環形擋板之間。
在某些此種實施方式中,圓形擋板及第一表面可由大約0.3”的間隙所分隔開,圓形擋板及第一環形擋板可由大約0.3”的間隙所分隔開,第一環形擋板及第二環形擋板可由大約0.3”的間隙所分隔開,而第一環形擋板及第三環形擋板可由大約0.3”的間隙所分隔開。
在該設備之若干進一步的實施方式中,第二環形擋板及第三環形擋板可彼此實質上共平面。
在某些進一步的實施方式中,第一環形擋板可具有內徑及外徑,而第二環形擋板及第三環形擋板可具有中間直徑。第二環形擋板的中間直徑可實質上相當於第一環形擋板的內徑,且第三環形擋板的中間直徑可實質上相當於第一環形擋板的外徑。
在某些此種實施方式中,第二環形擋板的中間直徑可落在第一環形擋板之內徑的10%之內,且第三環形擋板的中間直徑可落在第一環形擋板之外徑的10%之內。
在該設備之若干進一步的實施方式中,第二表面可由具有通孔之圖案的面板之第一側所定義,該通孔之圖案使充氣部容積與在第一側對面的該面板之第二側流體連通。
在該設備之若干進一步的實施方式中,第一環形擋板、及/或設備中的其他環形擋板(如果有的話),可由複數個弧形擋板段所形成,當該複數個弧形擋板段配置成圓圈時,其形成環形形狀。在一些此種實施方式中,該設備可更包含複數個壁,各壁實質上垂直於第一表面,且介在第一環形擋板的相鄰之弧形擋板段之間。在若干進一步的此種實施方式中,各壁可具有至少一壁架,該至少一壁架係配置以支撐弧形擋板段之相鄰邊緣。在一些此種實施方式中,該設備亦可包含橫跨於第一表面與第二表面之間的一或更多周圍表面,且各壁可為實質上徑向的壁,其實質上由該一或更多周圍表面朝該一或更多氣體入口延伸、且至少橫跨於第二表面與第一環形擋板之間。
在該設備之若干進一步的實施方式中,該設備亦可包含背板,該背板提供第一表面。在此種實施方式中,該一或更多氣體入口可配置為將氣體分配至第一環形擋板上。
在該設備之若干進一步的實施方式中,該設備可更包含第二環形擋板及第三環形擋板。第二環形擋板及第三環形擋板二者均可實質上以該一或更多氣體入口為中心、實質上平行於第一表面、且偏離第一環形擋板第二距離。第二環形擋板及第三環形擋板可位於第一環形擋板與第二表面之間,而第一環形擋板可介於第一表面與第二環形擋板之間、且介於第一表面與第三環形擋板之間。在一些此種實施方式中,第二環形擋板及第三環形擋板彼此可實質上共平面。
在一些此種實施方式中,第一環形擋板可具有內徑及外徑,且第二環形擋板及第三環形擋板可具有中間直徑。第二環形擋板的中間直徑可實質上相當於第一環形擋板的內徑,且第三環形擋板的中間直徑可實質上相當於第一環形擋板的外徑。在一些此種實施方式中,第二環形擋板的中間直徑可落在第一環形擋板之內徑的10%之內,且第三環形擋板的中間直徑可落在第一環形擋板之外徑的10%之內。
在該設備之若干實施方式中,該設備可包含一或更多環形擋板層,該設備之第一環形擋板層可由第一環形擋板所形成。環形擋板層在實質上垂直於環形擋板層的方向上可彼此間隔開。各環形擋板層可包含一或更多環形擋板,且各環形擋板可實質上以該一或更多氣體入口為中心。各環形擋板層之中所具有的環形擋板之數量為最接近之環形擋板層的兩倍,該最接近之環形擋板層係介於該環形擋板層與第一表面之間。各環形擋板可具有中間直徑、外徑、及內徑,且對於除了第一環形擋板層之外的各環形擋板層而言,在該環形擋板層中之各環形擋板的中間直徑,可實質上相當於最接近的環形擋板層中之一或更多環形擋板的一或更多內徑及一或更多外徑之不同一者,該最接近的環形擋板層係介於該環形擋板層與第一表面之間。
在一些此種實施方式中,對於除了第一環形擋板層之外的各環形擋板層而言,在該環形擋板層中之各環形擋板的中間直徑,可落在最接近的環形擋板層中之一或更多環形擋板的一或更多內徑及一或更多外徑之不同一者的10%之內,該最接近的環形擋板層係介於該環形擋板層與第一表面之間。
在該設備之若干其他實施方式中,環形擋板層之各者可與任一最接近之環形擋板層間隔至少0.05”。
在該設備之若干實施方式中,環形擋板之一或更多者可由配置成實質環形形狀的複數個弧形擋板段所形成。在此種實施方式中,該設備可更包含複數個壁,該等壁係位於環形擋板的相鄰之弧形擋板段之間,且配置為將弧形擋板段支撐於充氣部容積內。
在某些實施方式中,一種半導體處理站可包含此種如上所述的設備。在某些進一步的實施方式中,一種半導體處理機台可包含此種半導體處理站。在某些實施方式中,該半導體處理機台可包含步進機。
雖然本文中已描述各種實施方式,但應理解的是,該等實施方式僅以示例而非限制之方式來描述。因此,本揭露內容的廣度及範圍不應受限於本文中所描述的任何實施方式,而應僅根據以下及後續提交之申請專利範圍及其均等者來定義。
以下所列可作為市售之半導體處理機台(其中可使用本文中所討論之實施方式)的非限制性範例:可由Lam Research, Ins.(Fremont, Califorinia)取得之VECTOR□、ALTUS□、INOVA□、GAMMA□、G3D□、G400□、GxT□、Kiyo□、Versys□、Excelan□、及Flex□系列產品;可由Applied Materials, Ins.(Santa Clara, Califorinia)取得之Centura□、Endura□、及Producer□系列產品;來自Tokyo ElectronLimited(Tokyo, Japan)的Triase + □、Telius□、Tactras□、及UNITY□系列產品;以及來自Mattson Technology, Inc.(Fremont, California)的Aspen□、SUPREMA□、Nexion□、及Alpine□系列產品。
各種實施方式的範例係顯示於隨附圖式中,並在以下作進一步描述。應當理解的是,本文中的討論並非意欲將申請專利範圍限縮至所描述之特定實施方式。相反地,其目的在於涵蓋可包含在如隨附之申請專利範圍所定義的本發明之精神及範疇內的替代、變型、及均等者。在以下的描述中,係提出許多實施方式特定細節以提供本發明之通盤理解。本發明可在缺乏若干或全部這些實施方式特定細節的情況下加以實施。在其他情況下,眾所周知的處理操作並不再詳細描述,以免不必要地混淆本發明。
本文中所描述的是,特徵在於級聯之內部擋板配置的噴淋頭之各種實施方式。習知的噴淋頭設計可能會使用單一、圓形擋板(其係位於中央氣體入口前方並在噴淋頭充氣部容積內)來防止引入至噴淋頭充氣部中的氣體噴射至面板中,並因此在暫態及穩態流動二者期間產生朝向面板之中心的強烈之質量流偏壓。
相較之下,本文中所揭露的是,特徵在於以多層、級聯組態而配置於噴淋頭充氣部容積內之複數個環形擋板的噴淋頭。當與傳統的單一擋板噴淋頭相比時,此種級聯噴淋頭可提供遍及整片晶圓(其使用此種噴淋頭進行處理)之優越的氣體傳輸均勻性,尤其是在暫態流動條件期間。對於許多傳統的半導體製造製程而言,通過噴淋頭的氣體流動可能會在特別長的時間週期內發生,且雖然此種氣體流動在流量斜升(ramp-up)及斜降(ramp-down)期間會經歷暫態條件,但大部分的此種氣體流動係在穩態條件下。在穩態流動條件下,出自充氣部且通過面板的氣體流動分配,應當且通常主要係由面板中的孔洞圖案之幾何形狀所支配。
本案發明人已瞭解到,在噴淋頭內提供級聯擋板配置可大幅改善在暫態流動條件期間遍及噴淋頭之面板的氣體輸送均勻性。本案發明人亦已瞭解到,此種改善的暫態流動氣體分配在具有較短之循環時間的製程中可能會特別受矚目,此種製程例如為原子層沉積(atomic layer deposition, ALD)製程,其中通過噴淋頭的氣體流動可能會在短暫區間內進行脈衝。在此種脈衝流動中,暫態流動條件可能比穩態流動條件更佔主導地位、或可能從未達到穩態流動條件。
應理解的是,本案發明人亦已瞭解到,如本文中所討論的級聯噴淋頭亦可用於穩態條件可能佔主導地位的較長低製程中。舉例來說,儘管有在穩態流動條件期間遍及整片晶圓的實質上均勻之氣體傳輸,但發生於暫態流動條件期間、作為徑向位置之函數的質量傳輸中之任何初始變化可能會導致在後續穩態流動條件期間的沉積歪斜,例如,在整個製程中導致中心重度沉積。另一可能性為,任何初始之瞬間質量傳輸非均勻性可能會導致具有實質上非均勻特徵部的初始薄膜萌發(film germination)。當添加後續的材料時,這些非均勻特徵部接著可能傳播遍及整個薄膜厚度。因此,即使在具有較長流動時間、由穩態流動條件所支配的製程中,仍可使用級聯噴淋頭來抵銷可能在此種製程之暫態流動部分期間引入的非均勻性。
圖1A顯示級聯噴淋頭之一實施方式之範例的等角剖面圖。如可在圖1A中所見,噴淋頭100顯示出其特徵在於背板164及噴淋頭主體166;噴淋頭主體166可包含面板124,面板124特徵在於連通在面板124的第一側126與第二側128之間的通孔130之圖案。例如像是由背板164所提供的第一表面104、及例如像是由面板124之第一側126所提供的第二表面106,可與例如像是由噴淋頭主體166之一或多個內表面所提供的一或多個周圍表面108結合而實質地定義出充氣部容積102(見圖1B以進一步指出充氣部容積102)。可經由一或多個氣體入口110而以處理氣體(例如反應物或沖洗氣體)來供應充氣部容積102。氣體入口110可實質上位於通孔130之孔洞圖案的中心,並可連接於一或多個處理氣體供應器。
數個擋板結構可包含於充氣部容積102中,以提供級聯之擋板配置。亦可參考下面圖1B中所示的距離及尺寸,圖1B顯示圖1A之範例級聯噴淋頭的側剖面圖。這些擋板結構可包含圓形擋板112,其係位於氣體入口110前方、且實質上以氣體入口110為中心。圓形擋板112可偏離第一表面104第一距離114(見圖1B)。第一環形擋板118可位於圓形擋板112下方、且實質上以圓形擋板112為中心;第一環形擋板118可偏離圓形擋板112第二距離116。
在所描繪的實施方式中,亦顯示第二環形擋板120及第三環形擋板122。第二環形擋板120及第三環形擋板122可彼此共平面,並偏離第一環形擋板118第三距離117。
圓形擋板112可具有直徑140,且各環形擋板通常可被描述為具有外徑、內徑、及在外徑與內徑中間的中間直徑。因此,舉例來說,第一環形擋板118可具有外徑146、內徑144、及中間直徑142;第二環形擋板120可具有外徑152、內徑150及中間直徑148;且第三環形擋板122可具有外徑158、內徑156、及中間直徑154。此外,周圍表面108可具有直徑160;在周圍表面具有複數個實際直徑的情況下,直徑160可指最外側的此種直徑。
如可在圖1A及1B中所見,係將各環形擋板調整尺寸,俾使其中間直徑實質上相當於其上方之環形擋板的外徑或內徑(或者,在第一環形擋板的情況中,俾使中間直徑142實質上相當於直徑140)。在某些實施方式中,環形擋板的中間直徑可能並非精確地相當於上方擋板的外徑、內徑、或直徑,但可實質上相等,例如,落在該值的10%之內。
關於圖1A中所示之實施方式,直徑140可為10”、外徑146可為14.5”、內徑144可為5.5”、外徑152可為7”、內徑150可為4”、外徑158可為18”、而內徑156可為11”。因此,中間直徑142可為10”、中間直徑148可為5.5”、而中間直徑154可為14.5”。在所示的實施方式中,直徑160可為20”。其他的實施方式之特徵可在於具有其他尺寸及外觀比的環形擋板、圓形擋板、及周圍表面。
可調整第一距離114、第二距離116及第三距離117之尺寸,俾使由介於相鄰及重疊的擋板間之此等距離所導致的流動阻抗不會對擋板堆疊的整體流動阻抗有顯著貢獻。舉例來說,亦可調整此等距離之尺寸為實質上彼此相等,俾使擋板對之間由此種距離所導致產生的任何流動阻抗效應在程度上係類似的。例如,在所示的實施方式中,第一距離114、第二距離116、及第三距離117均為0.3”。然而,在其他實施方式中,舉例來說,內擋板間距可能係不同的,以便調整擋板重疊區域中的流動阻抗。在一些實施方式中,這些距離可能會為充氣部容積102所限制。舉例來說,在例如噴淋頭必須與預先存在之設備相容(其限制噴淋頭之總厚度)的改裝情況下,這些距離可能會存在一上限。取決於所使用之環形擋板層的數量,此上限可能會降低。在某些實施方式中,內擋板間距可設定為大於0.05”之值。在某些其他實施方式中,內擋板間距可設定為大於0.2”之值。
圖1C顯示圖1B之範例級聯噴淋頭的側剖面圖,其具有顯示噴淋頭內之標稱氣體流動方向的箭頭。如在此簡化的表示中所能看出,當氣體流過擋板的邊緣並流至該邊緣下方的擋板上時,氣體之一部分徑向朝外流動,而氣體之其餘部分徑向朝內流動。在每一此種轉變下,氣體流動被進一步細分,而導致在面板124處的更均勻之氣體分配,亦即,氣體以幾乎相等的時間抵達在面板之頂部的各種徑向位置。
圖1D顯示圖1A之範例級聯噴淋頭的等軸分解圖。在此圖中可更詳細地看出噴淋頭100的各種內部特徵。背板164被示出,如同可用以提供介於背板164及噴淋頭主體166間之密封的密封件168。複數個螺釘或其他緊固件可用以將背板164夾制於噴淋頭主體166。在其他實施方式中,此種組件可由焊接或黏接的組件所取代。背板164及噴淋頭主體166可由各種與半導體處理環境相容的材料所製成,例如鋁合金、陶瓷等等。背板164及噴淋頭主體166不需由相同的材料所製成。
在圖1D中可見的是圓形擋板112,其在本實施方式中係藉由三個安裝螺釘而固定於噴淋頭主體166中的支撐結構。在圖1D中亦可見的是第一環形擋板118、第二環形擋板120、及第三環形擋板122。在此實施方式中,此種環形擋板之各者係藉由將複數個弧形擋板段132配置成圓形圖案而形成。可配置擋板段132俾使其相鄰邊緣138被一小間隙所分隔開。各擋板段132可由介在相鄰邊緣138之間的壁134中之壁架136所支撐。圖1E顯示由虛線矩形所指出的圖1D之部分的詳圖;在詳圖中,壁架136及面板124中的通孔130可更清楚可見。
若有需要,可將壁架136以不同的高度設置於壁134中,以允許環形擋板在彼此不同偏移量下定位。擋板段132可相對於整體組件而被硬焊、黏接、或者托持於特定位置,以防止擋板段132相對於壁架136自由滑動。
應當理解的是,參照本揭露內容中之「環形擋板」不僅包含「純粹的」環形結構(例如不間斷的環形形狀),亦包含由複數個非環形、較小之結構(例如擋板段132)所建立而成的環形結構。亦應理解的是,本文中所描述的環形擋板及圓形擋板,亦可包含不會有損其環形或圓形的其他特徵,例如安裝孔。舉例來說,不以壁134的陣列來支撐各環形擋板,取而代之地,可使用複數個支架、螺釘、及擋板中的通孔將擋板以類似於前面所討論的組態而彼此間隔開。
應當理解的是,級聯噴淋頭的某些實施方式可捨棄充氣部容積內的圓形擋板。舉例來說,級聯噴淋頭可透過位在與第一環形擋板之中間直徑對應的環形區域中的氣體入口之圓形圖案,而非位於中心的一或多個氣體入口,將處理氣體引入至充氣部容積中。
圖2A顯示不具圓形擋板的級聯噴淋頭之一實施方式之範例的等角剖面圖。描繪於圖2A中的係噴淋頭200,其在許多方面上係與圖1A的噴淋頭100類似。例如,噴淋頭200包含第一環形擋板218、第二環形擋板220、及第三環形擋板222,其係以實質上與圖1A的第一環形擋板118、第二環形擋板120、及第三環形擋板122相似之方式來配置。具有連接第一側226及第二側228之通孔230的面板224亦被顯示。面板224可形成噴淋頭主體266之部分,其可與背板264連接。密封件268可介在噴淋頭主體266及背板264之間。可藉由例如由背板264所提供的第一表面204、例如由面板224所提供的第二表面206、及一或多個周圍表面208來定義出充氣部容積202(見圖2B以供充氣部容積202之進一步說明)。
圖2A中明顯缺少的係圓形擋板,例如像是圖1A的圓形擋板112。取而代之地,背板264可包含由端蓋265所覆蓋的凹陷區域。該凹陷區域可形成第二充氣部容積203,第二充氣部容積203係經由氣體入口210之圓形圖案而與充氣部容積202流體連通。第二充氣部容積203可藉由一或多個中央氣體進料器211來供料。流至第二充氣部容積203中的氣體可以實質上均勻分配的方式(由於氣體入口210之圓形圖案所提供的徑向對稱性之故),由第二充氣部容積203流過氣體入口210而到充氣部容積202中。氣體入口210可被定位以便位處於第一環形擋板218之中間直徑上方。因此,第二充氣部容積203及氣體入口210的圓形圖案可提供與圖1A之圓形擋板112類似的功能。實際上,分隔第二充氣部容積203與充氣部容積202的背板264之部分可以類似於圓形擋板的方式而作用,然而並非位於充氣部容積內,取而代之地,此部分係充當為充氣部容積202的邊界之部分。應當理解的是,可使用與圖2A至2D中所示者不同的幾何形狀來提供第二充氣部容積及氣體入口之圓形圖案。
圖2B顯示圖2A之範例級聯噴淋頭的側剖面圖。此圖可更清楚地顯示第二充氣部容積203及充氣部容積202。
圖2C顯示圖2A之範例級聯噴淋頭的等軸分解圖。如所見,此實施方式之特徵亦在於連續的第一環形擋板218而非零碎的第一環形擋板(例如圖1D中所示)。圖2D顯示由虛線矩形所指出的圖2C之部分的詳圖,如由圖2D可能更加顯而易見者,使用連續的第一環形擋板218可允許不同的支撐結構組態。舉例來說,第一環形擋板218可位於沿著壁234之頂部表面的凹陷之壁架236中,而擋板段232可沿著邊緣238由壁234之側面中的壁架236所支撐。
因此,環形擋板的級聯配置可適用於下列噴淋頭:特徵在於圓形擋板的噴淋頭;以及不包含圓形擋板、但能提供以實質上圓形方式配置於第一環形擋板之中間直徑處之氣體入口的噴淋頭。
如先前在本揭露內容中所提,例如那些在本揭露內容中所描述的級聯噴淋頭,可提供比習知噴淋頭(其特徵在於單一、圓形擋板且沒有環形擋板之級聯配置)還大幅改善的性能。
圖3A至3D顯示各種曲線圖,其說明了如本文中所討論之級聯噴淋頭設計與較傳統的噴淋頭(例如單一擋板、圓形板噴淋頭)之間性能上的差異。圖3A顯示一曲線圖,該曲線圖顯示對具有單一、圓形擋板之範例噴淋頭而言,作為離晶圓中心距離之函數、在各種時間下抵達晶圓的反應物質量傳輸率。圖3B顯示一曲線圖,該曲線圖顯示對具有單一、圓形擋板之範例噴淋頭(其係設計用於直徑比圖3A之範例噴淋頭所設計用的晶圓還大約50%的晶圓)而言,作為離晶圓中心距離之函數、在各種時間下抵達晶圓的反應物質量傳輸率。圖3C顯示一曲線圖,該曲線圖顯示對具有級聯噴淋頭設計之範例噴淋頭而言,作為離晶圓中心距離之函數、在各種時間下抵達晶圓的反應物質量傳輸率。圖3D顯示一曲線圖,該曲線圖顯示對圖3C之範例級聯噴淋頭(具有圖3C之流率的2倍流率)而言,作為離晶圓中心距離之函數、在各種時間下抵達晶圓的反應物質量傳輸率。圖3A至3D在Y軸上均以相同的量進行比例處理。圖3A至3D所表示之氣體流動均包含後續接著5秒沖洗氣體流動的5秒反應物流動,然而該等圖僅顯示傳輸至晶圓的反應物質量之量。圖3B及3C中所顯示之氣體流率係為圖3A中所顯示之氣體流率之大約2.25倍,而圖3D中所顯示之氣體流率係圖3C中所顯示者之大約2倍。
參照圖3A及圖3B,吾人可以看出,遍及整片晶圓(由圖3A及3B中所顯示之噴淋頭所處理)的表面在反應物質量傳輸率上有相當大的變化。如之前所提,由圖3A及3B所顯示之噴淋頭均以充氣部容積內的單一、圓形擋板為特徵,且在充氣部容積內並不包含環形擋板之級聯配置。此外,在遍及整片晶圓的中心至邊緣之質量傳輸率上的變化會隨著以下情況而增加:圖3A之噴淋頭增大以容納增加50%的晶圓直徑、及流率增加至圖3A的2.25X以達到圖3B中所表示的噴淋頭。在暫態流動(t = 1s)期間及更成熟的流動(t = 9s)期間二者均顯現出此質量傳輸率的變化。應當理解的是,在t=9s時反應物質量傳輸率的降低係由於以下事實:進到噴淋頭中的反應物流動係停止於t=5s時,而充氣部容積中的殘留反應物接著會在後續之沖洗氣體流動期間以連續遞減的量排掉。
相較之下,參照圖3C及3D,吾人可以看出,使用級聯噴淋頭(例如類似於圖1A的級聯噴淋頭)以供氣體傳輸可使相對於徑向位置的抵達晶圓之處理氣體的質量傳輸率上之變化大幅減少。應當理解的是,圖3B、3C、及3D表示具有相同晶圓尺寸(亦即,直徑比圖3A中所表示的晶圓尺寸大50%)的方案。如所見,圖3C中在t=1s時遍及整片晶圓的質量傳輸率上之峰對谷(peak-to-trough)變化,係比圖3B中在t=1s時遍及整片晶圓的質量傳輸率上之變化的50%還小。此外,此種質量傳輸率變化上的減低係藉由圖3C及3D的級聯噴淋頭實施方式而顯現在所繪製之全部五個時間範例。由於遍及整片具有較大晶圓尺寸之晶圓的質量傳輸率之均勻性增加,級聯噴淋頭可特別適合用於較新、450 mm的晶圓處理中,然而如本文中所討論之級聯噴淋頭當然亦適合用於其他尺寸之晶圓(無論大於及小於450 mm)的處理。
圖3C中所示的級聯噴淋頭亦顯示在較高流速下增加的質量傳輸率均勻性。例如,圖3D顯示圖3C之噴淋頭(氣體流量為圖3C中所表示者之2倍)的作為離晶圓中心距離之函數、抵達晶圓的質量傳輸率。明顯地,相較於非級聯、單一圓形擋板的噴淋頭,在具有級聯噴淋頭的情況中,即使在增加的流動條件下,抵達晶圓之質量傳輸率在所有時間、遍及整個晶圓直徑均保持相對均勻。
圖4A顯示一曲線圖,該曲線圖顯示對各種噴淋頭類型而言,在5秒反應物流動後,作為離晶圓中心距離之函數、傳輸至晶圓的總反應物質量。圖4B顯示一曲線圖,該曲線圖顯示對各種噴淋頭類型而言,在圖4A之5秒反應物流動之後的5秒沖洗流動後,作為離晶圓中心距離之函數、傳輸至晶圓的總反應物質量。應當理解的是,雖然圖4B的質量傳輸值反映了在5秒反應物流動之後的5秒沖洗流動期間,所發生之作為徑向晶圓位置之函數的總質量傳輸,但圖4B所示的總質量傳輸並未包含圖4A之5秒反應物流動期間所傳輸的反應物質量。
而圖3A至3D顯示出作為晶圓徑向位置之函數的瞬間質量傳輸值,圖4A及4B顯示出作為晶圓徑向位置之函數的總和質量傳輸值。由於總和質量傳輸值可作為晶圓特徵部均勻性的預測因子,因此這些圖可提供對於噴淋頭之不同類型間的製程均勻性的瞭解。
在圖4A及4B中,顯示出三種不同的數據線圖(提供4-5個數據點給各線圖,以及提供各數據線圖的四次多項式配適)。案例A表示圖3A中所表示之噴淋頭的總和質量傳輸,而案例B表示圖3B中所表示之噴淋頭(亦即,非級聯噴淋頭)的總和質量傳輸。案例C表示圖3C中所表示之噴淋頭(亦即,級聯噴淋頭)的總和質量傳輸。如所見,級聯噴淋頭之總和質量傳輸的全晶圓均勻性比由圖3A之非級聯噴淋頭所展現者要好將近3倍、且比由圖3B之非級聯噴淋頭所展現者要好將近5-6倍。
應當理解的是,雖然圖1A至1E中所示之範例係以平面擋板(例如平的圓盤或圓環)為特徵,但在依據本揭露內容的級聯噴淋頭中所使用的圓形擋板及環形擋板可具有非平面的橫截面。舉例來說,圓形擋板(如果存在)及/或環形擋板之若干或全部者可具有具傾斜或彎曲部分的橫截面。此外,圓形擋板(如果存在)及/或環形擋板可能並非完全不可讓氣體流動穿過,亦即,通孔之圖案可包含於圓形擋板及/或環形擋板中,以允許流動橫過擋板的一些氣體,在未必要流過環形擋板之內緣或外緣或圓形擋板之外緣的情況下通過擋板。此種穿過擋板之氣體流動的量可透過調節這些通孔的尺寸、數量、及位置而加以調整。
圖5顯示級聯噴淋頭的另一實施方式之範例的概念性側剖面圖。在此實施方式中,係設置具有些微圓錐形狀的圓形擋板512。設置第一環形擋板518、第二環形擋板520、及第三環形擋板522,其中各環形擋板係由該環形擋板之中間直徑朝該環形擋板之內徑及外徑向下傾斜。此種圓形擋板及環形擋板均為非「平面的」,因為其特徵在於非平面的橫截面。然而,為了方便起見,此種圓形擋板及環形擋板仍可被稱為其在本質上猶如平面。舉例來說,第一環形擋板518仍可係大致平面的,因為第一環形擋板518的垂直厚度比第一環形擋板518的外徑要小得多。此外,由於環形擋板可實質上軸對稱,故環形擋板可與垂直於環形擋板之對稱軸的參考平面相關聯。因此,舉例來說,當非平面擋板被稱作係「平行」於一表面時,應理解到,此論述可表示垂直於非平面擋板之對稱軸的參考平面係平行於該表面。
應進一步理解的是,級聯噴淋頭可包含比圖1A至1E中所示還要更多或更少環形擋板。例如,在某些實施方式中,級聯噴淋頭可僅包含一環形擋板。在某些其他實施方式中,級聯噴淋頭可包含六、十四、或更多環形擋板。一般而言,級聯噴淋頭中環形擋板的數量N係與由環形擋板層之數量n所決定的幾何級數相關聯,其中該幾何級數具有由下式所決定的總和:
圖6顯示級聯噴淋頭的另一實施方式之範例的概念性側剖面圖。在圖6中,級聯噴淋頭600顯示為具有四個環形擋板層662(在此規則中,圓形擋板612並不包含在環形擋板層中,然而替代的規則可在對上述幾何級數作適當修改的情況下,使圓形擋板612包含在環形擋板層中),其各者可具有一或更多環形擋板670。因此,充氣部容積602可包含:具有環形擋板670A的環形擋板層662A;具有環形擋板670B及670C的環形擋板層662B;具有環形擋板670D、670E、670F、及670G的環形擋板層662C;以及具有環形擋板670H、670I、670J、670K、670L、670M、670N、及670O的環形擋板層662D。充氣部容積可以第一表面604及第二表面606為界;第二表面606可由具有通孔630之圖案的面板所提供,通孔630之圖案使充氣部容積602與級聯噴淋頭600下方的晶圓反應空間流體連通。氣體入口610可將氣體供應至充氣部容積602。
理論上,理想的級聯噴淋頭可包含無限數量的環形擋板層,然而,在實務上,例如包裝空間、材料厚度、及其他因素的限制可能會對所使用之環形擋板層的數量強加實際限制。舉例來說,環形擋板層的數量、圓形擋板及環形擋板的厚度、及充氣部容積的厚度可能會致使擋板間的間隙小到足以使在重疊的擋板區域中橫過擋板的流動阻抗,相較於橫過沒有此種重疊之擋板區域的流動阻抗可能會以一個量作變化,該量足以在下游造成不可接受的製程非均勻性。
舉例來說,若吾人假設:環形擋板層及圓形擋板全都具有相同的厚度(tb )、各環形或圓形擋板係與其上方之表面間隔相同的距離(toffset )、最底部的環形擋板層係與面板間隔tbf_offset 、及充氣部具有厚度tp ,則仍可符合在這些限制內的環形擋板層(L)(不包含圓形擋板)之最大數量可簡單地由下式決定:
(雙括號係表示地板函數(floor function))
此關係當然可根據所使用的特定設計限制而修改。例如,不同的擋板厚度、不同的擋板間間隔、及變化的其他來源可能會需要對上述關係進行修改。
如先前所提,級聯噴淋頭可安裝於半導體處理腔室中;圖7顯示安裝於半導體處理腔室中之級聯噴淋頭的概念性側剖面圖。
處理腔室701可包含級聯噴淋頭700,級聯噴淋頭700係裝設於腔室外殼705之頂部。在某些實施方式中,轉接器板707可介在級聯噴淋頭700與腔室外殼705之間。晶圓支撐物709可將半導體晶圓713支撐於處理腔室701內並在級聯噴淋頭700下方。在晶圓支撐物709與級聯噴淋頭700之間可形成一微容積。在處理期間,該微容積可作為晶圓反應區域並可幫助將處理氣體集中並保留在半導體晶圓713附近。晶圓支撐物709可配置為向上及向下移動,以便晶圓之裝載及卸載操作。在其他實施方式中,級聯噴淋頭可透過桿件而自處理腔室701的蓋件(未示出)懸垂,且其本身可能並不形成處理腔室701的「蓋件」之一部分。在此種實施方式中,級聯噴淋頭700可配置為向上及向下移動,以便晶圓裝載/卸載。
在某些實施方式中,可提供一或更多此種處理腔室作為多站半導體處理機台中的處理站。在某些實施方式中,單一處理腔室可包含複數個處理站,該等處理站之若干或全部者可具有其自身的級聯噴淋頭組件。
圖8顯示具有入站負載鎖室802及出站負載鎖室804的多站處理機台800之示意圖。在常壓下,自動機器806係配置為將晶圓由透過箱件808所裝載的卡匣經由環境空氣接口810移動至入站負載鎖室802中。可藉由自動機器806將晶圓放置於入站負載鎖室802中的基座812上、可關閉環境空氣接口810、並接著可對負載鎖室進行抽氣。若入站負載鎖室802包含遠端電漿來源,則晶圓可在導入至處理腔室814之前在該負載鎖室中曝露於遠端電漿處理。進一步,例如,晶圓亦可在入站負載鎖室802中加熱以移除水分及吸附之氣體。接著,可開啟通往處理腔室814的腔室傳輸接口816,而另一自動機器(未示出)可將晶圓放到處理腔室814中、並放於反應器中所示之第一站的基座上以供處理。雖然圖8中所顯示的實施方式包含負載鎖室,但應瞭解的是,在某些實施方式中,可提供使晶圓直接進到處理站中的方式。
所顯示之處理腔室814包含四個處理站,在圖8中所示之實施方式中編號為由1到4。各站可具有加熱的或未加熱的基座(顯示於站1的818)、以及氣體管線入口。應當理解的是,在某些實施方式中,各處理站可具有不同或多個用途。舉例來說,在某些實施方式中,處理站在ALD及電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition, PECVD)處理模式之間可為可切換的。附加或替代地,在某些實施方式中,處理腔室814可包含ALD及PECVD處理站之一或更多匹配的配對。雖然所顯示之處理腔室814包含四個站,但應瞭解的是,依據本揭露內容的處理腔室可具有任何適當數量的站。舉例來說,在某些實施方式中,處理腔室可具有五或更多個站,而在其他實施方式中,處理腔室可具有三或更少個站。
各站可包含個別的噴淋頭組件,其可將處理氣體輸送至位於相關站的晶圓。在某些實施方式中,這些噴淋頭之若干或全部者可使用如本文中所描述的級聯噴淋頭。舉例來說,若一站係對晶圓提供可由使用本文中所描述之設備而獲益的ALD處理或其他處理,則該站的噴淋頭可以是如本文中所討論的級聯噴淋頭。
圖8亦顯示用於在處理腔室814內傳輸晶圓的晶圓搬運系統890。在某些實施方式中,晶圓搬運系統890可在各種處理站之間及/或在處理站與負載鎖室之間傳輸晶圓。應當理解的是,可使用任何適當的晶圓搬運系統。非限制性的範例包含晶圓旋轉料架及晶圓搬運自動機器。圖8亦顯示系統控制器850,系統控制器850係用以控制處理機台800的硬體狀態及製程條件。系統控制器850可包含一或更多記憶體裝置856、一或更多大量儲存裝置854、及一或更多處理器852。處理器852可包含中央處理單元(central processing unit, CPU)或電腦、類比及/或數位之輸入/輸出連接部、步進馬達控制器板等等。
在某些實施方式中,系統控制器850可控制處理機台800的所有活動。系統控制器850會執行系統控制軟體858,系統控制軟體858係:儲存於大量儲存裝置854中、被載入至記憶體裝置856中、且在處理器852上執行。系統控制軟體858可包含指令,其係用於控制時序、氣體之混合、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾盤及/或接受器(susceptor)位置、以及由處理機台800所執行之特定製程的其他參數。系統控制軟體858可以任何適當方式加以配置。舉例來說,可撰寫各種處理機台元件的子程式或控制物件,以控制對實現各種處理機台製程極為重要的處理機台元件之操作。系統控制軟體858可以任何適當的電腦可讀程式語言編寫而成。
在某些實施方式中,系統控制軟體858可包含用於控制上述各種參數的輸入/輸出控制(input/output control, IOC)定序指令。例如,ALD製程之各階段可包含一或更多指令以供系統控制器850執行。用以設定ALD製程階段用之製程條件的指令可包含於對應之ALD配方階段中。在某些實施方式中,複數個噴淋頭(如果存在的話)可獨立地控制,以允許執行個別、並行的處理操作。
儲存於大量儲存裝置854及/或記憶體裝置856上、與系統控制器850相關聯的其他電腦軟體及/或程式可在某些實施方式中使用。用於此目的之程式或程式之區段的範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含處理機台元件的程式碼,其係用以將基板裝載至基座818上,並控制基板與處理機台800之其他零件之間的間距。
處理氣體控制程式可包含程式碼,用於:控制氣體組成及流率;及選擇性地,在沉積前使氣體流至一或更多處理站中以穩定處理站中的壓力。壓力控制程式可包含程式碼,用於藉由調節例如處理站之排放系統中的節流閥、或進到處理站中的氣體流動,而控制處理站中的壓力。
加熱器控制程式可包含程式碼,用於控制流至加熱單元的電流,該加熱單元係用以加熱基板。或者,加熱器控制程式可控制通往基板之熱傳導氣體(例如氦)的傳輸。
電漿控制程式可包含程式碼,用於設定施加至一或更多處理站中之處理電極的RF功率位準。在適當的情況下,電漿控制程式可包含程式碼,用於控制外部電漿產生器、及/或將處理氣體供應至電漿產生器或自由基來源容積所需的閥調元件。
在某些實施方式中,可存有與系統控制器850相關的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等等)。
在某些實施方式中,由系統控制器850所調整的參數可與製程條件相關。非限制性的範例包含處理氣體之組成及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)、壓力、溫度等等。這些參數可以配方的形式提供給使用者,該配方可利用使用者介面來輸入。
用於監測製程的信號可從各種處理機台感測器藉由系統控制器850的類比及/或數位輸入連接部而提供。用於控制製程的信號可在處理機台800的類比及數位輸出連接部上被輸出。可被監測的處理機台感測器之非限制性範例包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。經適當程式設計的回授與控制演算法可適用於來自這些感測器的數據,以維持製程條件。
系統控制器850可提供用以實施各種半導體製造製程的程式指令。程式指令可控制各種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等等。該等指令可控制該等參數以操作薄膜堆疊的原位沉積。
系統控制器通常可包含一或更多記憶體裝置及配置以執行指令的一或更多處理器,俾使該設備能執行根據本發明的方法。機器可讀媒體可耦合至系統控制器,該機器可讀媒體包含用以根據本發明而控制製程操作的指令。
雖然圖8中所示的半導體處理機台顯示單一、四站式的處理腔室或模組,但半導體處理機台的其他實施方式可包含複數個模組,其各者具有單一個站或複數個站。該等模組可彼此互相連接、及/或圍繞一或更多轉移腔室而配置,該轉移腔室可幫助模組間的晶圓移動。由此種多模組半導體處理機台所提供的站之一或更多者,可根據需求配備有如本文中所描述的級聯噴淋頭。
一般而言,如本文中所描述的級聯噴淋頭可裝設在反應腔室中、並位於配置為支撐一或更多半導體晶圓的晶圓支撐物上方。例如,該噴淋頭亦可作為反應腔室的蓋件或蓋件之部分,在其他實施方式中,如前面所討論,級聯噴淋頭可為「吊燈」式噴淋頭,並透過桿件或其他支撐結構而自反應腔室的蓋件懸垂。
上文中所描述的設備/製程可與例如用於製造或加工半導體元件、顯示器、LEDs、光伏面板及類似物的微影圖案化機台或製程(例如步進機)結合使用。雖非必要,但通常此種機台/製程會在共同的製造設備中一起使用或執行。薄膜的微影圖案化通常包含一些或全部以下步驟,各步驟係以數個可能的機台來進行:(1)使用旋塗或噴塗機台將光阻塗佈於工作件(即晶圓)上;(2)使用熱板或爐膛或UV固化機台將光阻固化;(3)以例如晶圓步進機的機台使光阻曝露於可見光或UV光或x射線光;(4)使用例如濕式工作台的機台將光阻顯影,以便選擇性地移除光阻從而將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻機台將光阻圖案轉移至下層薄膜或工作件中;及(6)使用例如RF或微波電漿光阻剝除機之機台將光阻移除。
本發明之另一實施態樣為配置以實現本文中所描述之方法的設備。適合的設備包含用以實現製程操作的硬體、及系統控制器,該系統控制器具有根據本發明而控制製程操作的指令。舉例來說,該系統控制器可配置為控制進到遠端電漿來源中的第一處理氣體、第二處理氣體、及前驅物氣體的氣體流動。該系統控制器亦可控制RF線圈的RF輸出,且可基於使用溫度探測器在面板組件中所量測到的溫度,來控制循環通過系統中之任何冷卻管道的冷卻劑之流率及溫度。系統控制器通常會包含一或更多記憶體裝置及一或更多處理器,該一或更多處理器係配置為執行指令俾使該設備可執行根據本發明的方法。包含用於根據本發明而控制製程操作之指令的機械可讀媒體可通信上耦合至系統控制器。
雖然本文中已描述各種實施方式,但應理解的是,該等實施方式僅以示例而非限制之方式來描述。因此,本揭露內容的廣度及範圍不應受限於本文中所描述的任何實施方式,而應僅根據以下及後續提交之申請專利範圍及其均等者來定義。
應理解的是,除非任何上述實施方式中的特徵明顯確定為彼此不相容、或附近的上下文表示該等特徵在互補及/或支持之意義上互相排斥且不易結合,否則本揭露內容之整體係構思及設想為可以選擇性地結合那些實施方式的特定特徵,以提供一或更多全面、但略有不同的技術解決方案。因此更應理解,上述說明係僅以示例之方式提出,且可在本揭露內容之範圍內進行細節上的修改。
100‧‧‧噴淋頭
102‧‧‧充氣部容積
104‧‧‧第一表面
106‧‧‧第二表面
108‧‧‧周圍表面
110‧‧‧氣體入口
112‧‧‧圓形擋板
114‧‧‧第一距離
116‧‧‧第二距離
117‧‧‧第三距離
118‧‧‧第一環形擋板
120‧‧‧第二環形擋板
122‧‧‧第三環形擋板
124‧‧‧面板
126‧‧‧第一側
128‧‧‧第二側
130‧‧‧通孔
132‧‧‧(弧形)擋板段
134‧‧‧壁
136‧‧‧壁架
138‧‧‧相鄰邊緣
140‧‧‧直徑
142‧‧‧中間直徑
144‧‧‧內徑
146‧‧‧外徑
148‧‧‧中間直徑
150‧‧‧內徑
152‧‧‧外徑
154‧‧‧中間直徑
156‧‧‧內徑
158‧‧‧外徑
160‧‧‧直徑
164‧‧‧背板
166‧‧‧噴淋頭主體
168‧‧‧密封件
200‧‧‧噴淋頭
202‧‧‧充氣部容積
203‧‧‧第二充氣部容積
204‧‧‧第一表面
206‧‧‧第二表面
208‧‧‧周圍表面
210‧‧‧氣體入口
211‧‧‧中央氣體進料器
218‧‧‧第一環形擋板
220‧‧‧第二環形擋板
222‧‧‧第三環形擋板
224‧‧‧面板
226‧‧‧第一側
228‧‧‧第二側
230‧‧‧通孔
232‧‧‧擋板段
234‧‧‧壁
236‧‧‧壁架
238‧‧‧邊緣
264‧‧‧背板
265‧‧‧端蓋
266‧‧‧噴淋頭主體
268‧‧‧密封件
512‧‧‧圓形擋板
518‧‧‧第一環形擋板
520‧‧‧第二環形擋板
522‧‧‧第三環形擋板
600‧‧‧級聯噴淋頭
602‧‧‧充氣部容積
604‧‧‧第一表面
606‧‧‧第二表面
610‧‧‧氣體入口
612‧‧‧圓形擋板
630‧‧‧通孔
662A~D‧‧‧環形擋板層
670A~O‧‧‧環形擋板
700‧‧‧級聯噴淋頭
701‧‧‧處理腔室
705‧‧‧腔室外殼
707‧‧‧轉接器版
709‧‧‧晶圓支撐物
713‧‧‧半導體晶圓
800‧‧‧(多站)處理機台
802‧‧‧入站負載鎖室
804‧‧‧出站負載鎖室
806‧‧‧自動機器
808‧‧‧箱件
810‧‧‧環境空氣接口
812‧‧‧基座
814‧‧‧處理腔室
816‧‧‧腔室傳輸接口
818‧‧‧基座
850‧‧‧系統控制器
852‧‧‧處理器
854‧‧‧大量儲存裝置
856‧‧‧記憶體裝置
858‧‧‧系統控制軟體
890‧‧‧晶圓搬運系統
圖1A顯示級聯噴淋頭之一實施方式之範例的等角剖面圖。
圖1B顯示圖1A之範例級聯噴淋頭的側剖面圖。
圖1C顯示圖1B之範例級聯噴淋頭的側剖面圖,其具有顯示噴淋頭內之標稱氣體流動方向的箭頭。
圖1D顯示圖1A之範例級聯噴淋頭的等軸分解圖。
圖1E顯示由虛線矩形所指出的圖1D之部分的詳圖。
圖2A顯示級聯噴淋頭之另一實施方式之範例的等角剖面圖。
圖2B顯示圖2A之範例級聯噴淋頭的側剖面圖。
圖2C顯示圖2A之範例級聯噴淋頭的等軸分解圖。
圖2D顯示由虛線矩形所指出的圖2C之部分的詳圖。
圖3A顯示一曲線圖,該曲線圖顯示對具有單一、圓形擋板之範例噴淋頭而言,作為離晶圓中心距離之函數、在各種時間下抵達晶圓的反應物質量傳輸率。
圖3B顯示一曲線圖,該曲線圖顯示對具有單一、圓形擋板之範例噴淋頭(其係設計用於比圖3A之範例噴淋頭所設計用的晶圓還大約50%的晶圓)而言,作為離晶圓中心距離之函數、在各種時間下抵達晶圓的反應物質量傳輸率。
圖3C顯示一曲線圖,該曲線圖顯示對具有級聯噴淋頭設計之範例噴淋頭而言,作為離晶圓中心距離之函數、在各種時間下抵達晶圓的反應物質量傳輸率。
圖3D顯示一曲線圖,該曲線圖顯示對圖3C之範例級聯噴淋頭(具有圖3C之流率的2倍流率)而言,作為離晶圓中心距離之函數、在各種時間下抵達晶圓的反應物質量傳輸率。
圖4A顯示一曲線圖,該曲線圖顯示對各種噴淋頭類型而言,在5秒反應物流動期間,作為離晶圓中心距離之函數、傳輸至晶圓的總反應物質量。
圖4B顯示一曲線圖,該曲線圖顯示對各種噴淋頭類型而言,在圖4A之5秒反應物流動之後進行5秒沖洗流動後,作為離晶圓中心距離之函數、傳輸至晶圓的總反應物質量。
圖5顯示級聯噴淋頭的另一實施方式之範例的概念性側剖面圖。
圖6顯示級聯噴淋頭的另一實施方式之範例的概念性側剖面圖。
圖7顯示安裝於半導體處理腔室中之級聯噴淋頭的概念性側剖面圖。
圖8顯示可適用級聯噴淋頭的多站處理機台的示意圖。
圖1A至1E及圖2A至2D係按比例繪製。
100‧‧‧噴淋頭
102‧‧‧充氣部容積
104‧‧‧第一表面
106‧‧‧第二表面
108‧‧‧周圍表面
110‧‧‧氣體入口
112‧‧‧圓形擋板
118‧‧‧第一環形擋板
120‧‧‧第二環形擋板
122‧‧‧第三環形擋板
124‧‧‧面板
126‧‧‧第一側
128‧‧‧第二側
130‧‧‧通孔
164‧‧‧背板
166‧‧‧噴淋頭主體
168‧‧‧密封件

Claims (27)

  1. 一種用於將氣體分配遍及半導體晶圓的設備,該設備包含: 一充氣部容積,具有一第一表面及面向該第一表面的一第二表面,該第一表面及該第二表面至少部分地定義該充氣部容積; 一或更多氣體入口,通過該第一表面而進入到該充氣部容積中;及 一第一環形擋板,該第一環形擋板實質上以該一或更多氣體入口為中心且實質上平行於該第一表面,其中,該第一環形擋板係位於該第一表面與該第二表面之間。
  2. 如申請專利範圍第1項之用於將氣體分配遍及半導體晶圓的設備,更包含: 一圓形擋板,該圓形擋板實質上以該一或更多氣體入口為中心、實質上平行於該第一表面、且偏離該第一表面一第一距離,其中: 該第一環形擋板係偏離該圓形擋板一第二距離,及 該圓形擋板係介於該第一環形擋板與該第一表面之間。
  3. 如申請專利範圍第2項之用於將氣體分配遍及半導體晶圓的設備,其中: 該圓形擋板具有一直徑, 該第一環形擋板具有一中間直徑,及 該第一環形擋板的中間直徑實質上相當於該圓形擋板的直徑。
  4. 如申請專利範圍第3項之用於將氣體分配遍及半導體晶圓的設備,其中,該第一環形擋板的中間直徑係落在該圓形擋板之直徑的10%之內。
  5. 如申請專利範圍第3或4項之用於將氣體分配遍及半導體晶圓的設備,更包含: 一或更多周圍表面,橫跨於該第一表面與該第二表面之間,其中: 該一或更多周圍表面之一者具有一直徑; 該第一環形擋板具有一外徑; 該圓形擋板之直徑實質上為該周圍表面之直徑的一半;及 該第一環形擋板之外徑實質上相當於該圓形擋板及該周圍表面的直徑之總和的一半。
  6. 如申請專利範圍第3或4項之用於將氣體分配遍及半導體晶圓的設備,更包含: 一第二環形擋板;及 一第三環形擋板,其中: 該第二環形擋板及該第三環形擋板二者均實質上以該一或更多氣體入口為中心、實質上平行於該第一表面、且偏離該第一環形擋板一第三距離,其中: 該第二環形擋板及該第三環形擋板係位於該第一環形擋板與該第二表面之間,及 該第一環形擋板係介於該圓形擋板與該第二環形擋板之間、且介於該圓形擋板與該第三環形擋板之間。
  7. 如申請專利範圍第6項之用於將氣體分配遍及半導體晶圓的設備,其中: 該圓形擋板及該第一表面由大約0.3”的間隙所分隔開, 該圓形擋板及該第一環形擋板由大約0.3”的間隙所分隔開, 該第一環形擋板及該第二環形擋板由大約0.3”的間隙所分隔開,及 該第一環形擋板及該第三環形擋板由大約0.3”的間隙所分隔開。
  8. 如申請專利範圍第6項之用於將氣體分配遍及半導體晶圓的設備,其中,該第二環形擋板及該第三環形擋板彼此實質上共平面。
  9. 如申請專利範圍第6項之用於將氣體分配遍及半導體晶圓的設備,其中: 該第一環形擋板具有一內徑及一外徑, 該第二環形擋板具有一中間直徑, 該第三環形擋板具有一中間直徑, 該第二環形擋板的中間直徑實質上相當於該第一環形擋板的內徑,及 該第三環形擋板的中間直徑實質上相當於該第一環形擋板的外徑。
  10. 如申請專利範圍第9項之用於將氣體分配遍及半導體晶圓的設備,其中: 該第二環形擋板的中間直徑係落在該第一環形擋板之內徑的10%之內,及 該第三環形擋板的中間直徑係落在該第一環形擋板之外徑的10%之內。
  11. 如申請專利範圍第1至4項之任一項之用於將氣體分配遍及半導體晶圓的設備,其中,該第二表面係由具有通孔之圖案的面板之第一側所定義,該通孔之圖案使該充氣部容積與在該第一側對面的該面板之第二側流體連通。
  12. 如申請專利範圍第1至4項之任一項之用於將氣體分配遍及半導體晶圓的設備,其中,該第一環形擋板係由複數個弧形擋板段所形成,當該複數個弧形擋板段配置成圓圈時,其形成環形形狀。
  13. 如申請專利範圍第12項之用於將氣體分配遍及半導體晶圓的設備,更包含複數個壁,各壁實質上垂直於該第一表面,且介在該第一環形擋板的相鄰之弧形擋板段之間。
  14. 如申請專利範圍第13項之用於將氣體分配遍及半導體晶圓的設備,其中,各壁具有至少一壁架,該至少一壁架係配置為支撐該等弧形擋板段之相鄰邊緣。
  15. 如申請專利範圍第13項之用於將氣體分配遍及半導體晶圓的設備,更包含橫跨於該第一表面與該第二表面之間的一或更多周圍表面,其中,各壁係為實質上徑向的壁,其實質上由該一或更多周圍表面朝該一或更多氣體入口延伸、且至少橫跨於該第二表面與該第一環形擋板之間。
  16. 如申請專利範圍第1至4項之任一項之用於將氣體分配遍及半導體晶圓的設備,更包含一背板,其中,該背板提供該第一表面,且該一或更多氣體入口係配置為將氣體分配至該第一環形擋板上。
  17. 如申請專利範圍第16項之用於將氣體分配遍及半導體晶圓的設備,更包含: 一第二環形擋板;及 一第三環形擋板,其中: 該第二環形擋板及該第三環形擋板二者均實質上以該一或更多氣體入口為中心、實質上平行於該第一表面、且偏離該第一環形擋板一第三距離,其中: 該第二環形擋板及該第三環形擋板係位於該第一環形擋板與該第二表面之間,及 該第一環形擋板係介於該第一表面與該第二環形擋板之間、且介於該第一表面與該第三環形擋板之間。
  18. 如申請專利範圍第17項之用於將氣體分配遍及半導體晶圓的設備,其中,該第二環形擋板及該第三環形擋板彼此實質上共平面。
  19. 如申請專利範圍第17項之用於將氣體分配遍及半導體晶圓的設備,其中: 該第一環形擋板具有一內徑及一外徑, 該第二環形擋板具有一中間直徑, 該第三環形擋板具有一中間直徑, 該第二環形擋板的中間直徑實質上相當於該第一環形擋板的內徑,及 該第三環形擋板的中間直徑實質上相當於該第一環形擋板的外徑。
  20. 如申請專利範圍第19項之用於將氣體分配遍及半導體晶圓的設備,其中: 該第二環形擋板的中間直徑係落在該第一環形擋板之內徑的10%之內,及 該第三環形擋板的中間直徑係落在該第一環形擋板之外徑的10%之內。
  21. 如申請專利範圍第1項之用於將氣體分配遍及半導體晶圓的設備,更包含: 一或更多環形擋板層,其中: 該第一環形擋板形成該一或更多環形擋板層之第一環形擋板層, 該等環形擋板層在實質上垂直於該等環形擋板層的方向上彼此間隔開, 各環形擋板層包含一或更多環形擋板, 各環形擋板實質上以該一或更多氣體入口為中心, 各環形擋板層之中所具有的環形擋板之數量為最接近之環形擋板層的兩倍,該最接近之環形擋板層係介於該環形擋板層與該第一表面之間, 各環形擋板具有一中間直徑、一外徑、及一內徑,及 對於除了該第一環形擋板層之外的各環形擋板層而言,在該環形擋板層中之各環形擋板的中間直徑,實質上相當於最接近的環形擋板層中之該一或更多環形擋板的該一或更多內徑及該一或更多外徑之不同一者,該最接近的環形擋板層係介於該環形擋板層與該第一表面之間。
  22. 如申請專利範圍第21項之用於將氣體分配遍及半導體晶圓的設備,其中,對於除了該第一環形擋板層之外的各環形擋板層而言,在該環形擋板層中之各環形擋板的中間直徑,係落在最接近的環形擋板層中之該一或更多環形擋板的該一或更多內徑及該一或更多外徑之不同一者的10%之內,該最接近的環形擋板層係介於該環形擋板層與該第一表面之間。
  23. 如申請專利範圍第21項之用於將氣體分配遍及半導體晶圓的設備,其中,該等環形擋板層之各者係與任一最接近之環形擋板層間隔至少0.05”。
  24. 如申請專利範圍第21項之用於將氣體分配遍及半導體晶圓的設備,其中,該等環形擋板之一或更多者係由配置成實質環形形狀的複數個弧形擋板段所形成,且該設備更包含複數個壁,該等壁係: 位於該環形擋板的相鄰之弧形擋板段之間,及 配置為將該等弧形擋板段支撐於該充氣部容積內。
  25. 一種半導體處理站,該半導體處理站包含如申請專利範圍第1至4項之任一項之用於將氣體分配遍及半導體晶圓的設備。
  26. 一種半導體處理機台,該半導體處理機台包含如申請專利範圍第25項之半導體處理站。
  27. 如申請專利範圍第26項之半導體處理機台,其中,該半導體處理機台包含步進機。
TW103112368A 2013-04-05 2014-04-02 用於暫態非均勻性之級聯設計噴淋頭 TWI640365B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/857,799 US9353439B2 (en) 2013-04-05 2013-04-05 Cascade design showerhead for transient uniformity
US13/857,799 2013-04-05

Publications (2)

Publication Number Publication Date
TW201509537A true TW201509537A (zh) 2015-03-16
TWI640365B TWI640365B (zh) 2018-11-11

Family

ID=51653768

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103112368A TWI640365B (zh) 2013-04-05 2014-04-02 用於暫態非均勻性之級聯設計噴淋頭

Country Status (3)

Country Link
US (1) US9353439B2 (zh)
KR (1) KR102244230B1 (zh)
TW (1) TWI640365B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
TWI689353B (zh) * 2015-05-26 2020-04-01 美商蘭姆研究公司 用於半導體處理之設備
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US12000047B2 (en) 2023-02-02 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR20150012580A (ko) * 2013-07-25 2015-02-04 삼성디스플레이 주식회사 기상 증착 장치
KR102203098B1 (ko) * 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
USD793526S1 (en) * 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) * 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) * 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
KR101876548B1 (ko) * 2016-12-30 2018-07-09 상구정공(주) 샤워헤드 플레이트 및 이의 제작방법
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US20190376184A1 (en) * 2018-06-12 2019-12-12 Lam Research Corporation Chemical vapor deposition shower head for uniform gas distribution
TWI812475B (zh) * 2018-09-29 2023-08-11 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
WO2020159799A1 (en) * 2019-02-01 2020-08-06 Lam Research Corporation Showerhead for deposition tools having multiple plenums and gas distribution chambers
CN114059044A (zh) * 2021-11-09 2022-02-18 长江存储科技有限责任公司 一种膜层生长设备和膜层生长方法

Family Cites Families (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4315173A (en) * 1980-03-30 1982-02-09 Westinghouse Electric Corp. Dynamoelectric machines segmental air gap baffle assembly
US4647512A (en) 1986-03-20 1987-03-03 The Perkin-Elmer Corporation Diamond-like carbon films and process for production thereof
US4671204A (en) 1986-05-16 1987-06-09 Varian Associates, Inc. Low compliance seal for gas-enhanced wafer cooling in vacuum
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JPH05267186A (ja) 1992-03-18 1993-10-15 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
JP3120302B2 (ja) 1992-04-30 2000-12-25 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造法
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
JP2870719B2 (ja) 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US5855465A (en) 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5728260A (en) 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP4048387B2 (ja) 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
JP3208376B2 (ja) 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
US6150544A (en) 1998-06-30 2000-11-21 Japan Polyolefins Co., Ltd. Transition metal compound and catalyst containing the same for polymerization of olefins
KR100343134B1 (ko) 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
JP3320387B2 (ja) 1998-09-07 2002-09-03 キヤノン株式会社 電子源の製造装置及び製造方法
US6191011B1 (en) 1998-09-28 2001-02-20 Ag Associates (Israel) Ltd. Selective hemispherical grain silicon deposition
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6241825B1 (en) 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245149B1 (en) 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6539963B1 (en) 1999-07-14 2003-04-01 Micron Technology, Inc. Pressurized liquid diffuser
US6419751B1 (en) 1999-07-26 2002-07-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP3483537B2 (ja) 2000-03-06 2004-01-06 キヤノン株式会社 画像表示装置の製造方法
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
AU4351601A (en) 2000-03-09 2001-09-17 Semix Inc Wafer processing apparatus and method
US6848961B2 (en) 2000-03-16 2005-02-01 Canon Kabushiki Kaisha Method and apparatus for manufacturing image displaying apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP3667256B2 (ja) 2000-06-30 2005-07-06 キヤノン株式会社 電子源の製造装置
KR100750018B1 (ko) 2000-07-26 2007-08-16 동경 엘렉트론 주식회사 반도체 기판의 처리를 위한 고압 챔버 및 반도체 기판의고압 처리를 위한 장치
EP1327010B1 (en) 2000-09-28 2013-12-04 President and Fellows of Harvard College Vapor deposition of silicates
JP3793014B2 (ja) 2000-10-03 2006-07-05 キヤノン株式会社 電子源の製造装置、電子源の製造方法及び画像形成装置の製造方法
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
WO2002045561A2 (en) 2000-11-20 2002-06-13 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
KR100516844B1 (ko) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
JP2002260218A (ja) 2001-03-05 2002-09-13 Anelva Corp 磁気記録ディスク、磁気記録ディスク製造方法及び磁気記録ディスク製造装置
US6939206B2 (en) 2001-03-12 2005-09-06 Asm Nutool, Inc. Method and apparatus of sealing wafer backside for full-face electrochemical plating
US6534802B1 (en) 2001-05-07 2003-03-18 Newport Fab, Llc Method for reducing base to collector capacitance and related structure
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6551339B2 (en) 2001-08-23 2003-04-22 Stas Gavronsky Acupuncture device with improved needle guide tube
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
AU2002343583A1 (en) 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
TWI291487B (en) 2002-01-08 2007-12-21 Chi Mei Optoelectronics Corp Red-emitting organic electroluminescent compound
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6586349B1 (en) 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US7208195B2 (en) 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
KR200279498Y1 (ko) * 2002-03-28 2002-06-24 주식회사 아토 반도체소자 제조장치의 샤워헤드
WO2003083167A1 (en) 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
CA2529095A1 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
CN100419939C (zh) 2003-01-21 2008-09-17 佳能株式会社 通电处理方法和电子源衬底的制造方法
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US7225820B2 (en) 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
JP4591651B2 (ja) 2003-02-27 2010-12-01 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
KR100408333B1 (en) * 2003-06-05 2003-12-03 Ans Inc Shower head having self aligned plate for dry etching apparatus and gas distributing method using the same
US20050178336A1 (en) 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7700155B1 (en) 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US20060027611A1 (en) * 2004-07-23 2006-02-09 Hobbs Wade T Baffled water bottle
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
JP2006066272A (ja) 2004-08-27 2006-03-09 Canon Inc 画像表示装置
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7670432B2 (en) 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
KR101765754B1 (ko) * 2010-05-12 2017-08-09 주식회사 탑 엔지니어링 샤워헤드 및 이를 포함하는 반도체 기판 가공 장치
SG10201602599XA (en) * 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
TWI689353B (zh) * 2015-05-26 2020-04-01 美商蘭姆研究公司 用於半導體處理之設備
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US12000047B2 (en) 2023-02-02 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Also Published As

Publication number Publication date
KR102244230B1 (ko) 2021-04-26
TWI640365B (zh) 2018-11-11
US9353439B2 (en) 2016-05-31
KR20140121372A (ko) 2014-10-15
US20140299681A1 (en) 2014-10-09

Similar Documents

Publication Publication Date Title
TWI640365B (zh) 用於暫態非均勻性之級聯設計噴淋頭
JP7181337B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
KR102514303B1 (ko) 웨이퍼 에지에서의 후면 증착을 감소시키는 방법
US10741365B2 (en) Low volume showerhead with porous baffle
US10242848B2 (en) Carrier ring structure and chamber systems including the same
US20170053781A1 (en) Multi-Station Chamber Having Symmetric Grounding Plate
KR20230088467A (ko) 열적 균일 증착 스테이션
KR20230112537A (ko) 퍼지 링을 통한 국부화된 플라즈마 아크 (plasma arc) 방지