KR102244230B1 - 과도 균일성을 위한 캐스케이드 설계 샤워헤드 - Google Patents

과도 균일성을 위한 캐스케이드 설계 샤워헤드 Download PDF

Info

Publication number
KR102244230B1
KR102244230B1 KR1020140041461A KR20140041461A KR102244230B1 KR 102244230 B1 KR102244230 B1 KR 102244230B1 KR 1020140041461 A KR1020140041461 A KR 1020140041461A KR 20140041461 A KR20140041461 A KR 20140041461A KR 102244230 B1 KR102244230 B1 KR 102244230B1
Authority
KR
South Korea
Prior art keywords
annular baffle
baffle
annular
diameter
gas
Prior art date
Application number
KR1020140041461A
Other languages
English (en)
Other versions
KR20140121372A (ko
Inventor
드리티먼 에스. 카샵
데이비드 지. 코헨
다빈더 샤르마
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20140121372A publication Critical patent/KR20140121372A/ko
Application granted granted Critical
Publication of KR102244230B1 publication Critical patent/KR102244230B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 웨이퍼를 가로질러 프로세스 가스를 분배하는 반도체 프로세싱 동작들용 장치가 개시된다. 장치는 장치의 플레넘 체적 내의 환상의 배플층들의 스택에 배열된 하나 이상의 환상의 배플을 포함할 수도 있다. 환상의 배플 각각은 그위의 환상의 배플층에 있는 배플의 내경 또는 외경과 실질적으로 동일한 중앙-직경을 가질 수도 있다. 환상의 배플들은 캐스케이드 (cascade) 방식으로 배열될 수도 있다.

Description

과도 균일성을 위한 캐스케이드 설계 샤워헤드{CASCADE DESIGN SHOWERHEAD FOR TRANSIENT UNIFORMITY}
반도체 프로세싱 툴은 반도체 기판 또는 웨이퍼를 가로질러 상대적으로 균일한 방식으로 프로세스 가스를 분배하도록 설계된 컴포넌트를 종종 포함한다. 이러한 컴포넌트는 본 산업에서 "샤워헤드"로 일반적으로 지칭된다. 샤워헤드는 통상적으로 일종의 플레넘 (plenum) 체적을 향하는 페이스 플레이트 (faceplate) 를 포함한다. 페이스 플레이트는 플레넘 체적에서의 가스로 하여금 페이스 플레이트를 통해 기판과 페이스 플레이트 사이의 (또는 웨이퍼와 페이스 플레이트를 지지하는 웨이퍼 지지부 사이의) 반응 공간으로 흐르게 하는 복수의 쓰루-홀을 포함할 수도 있다. 쓰루-홀은 통상적으로 웨이퍼를 가로지르는 가스 분배가 실질적으로 균일한 웨이퍼 프로세싱을 야기하도록 배열된다.
본 명세서에서 설명되는 사항들의 하나 이상의 구현의 구체사항들은 이하의 첨부된 도면들과 발명의 상세한 설명에서 설명된다. 다른 특징들, 양태들 및 이점들은 본 상세한 설명, 도면들 및 청구항들로부터 명백해질 것이다. 이하의 도면들의 상대적인 치수들은 특정하게 스케일링된 도면이라고 지시되지 않은 이상 스케일되도록 도시되지 않을 수도 있다는 점을 주목한다.
일부의 구현에서, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치가 제공된다. 장치는 제 1 표면 및 제 1 표면에 대향하는 제 2 표면을 포함하는 플레넘 체적을 포함할 수도 있다. 제 1 표면과 제 2 표면은 플레넘 체적을 적어도 부분적으로 정의할 수도 있다. 장치는 또한 제 1 표면과 제 1 환상의 배플을 통한 플레넘 체적으로의 하나 이상의 가스 주입구를 포함할 수도 있다. 제 1 환상의 배플은 하나 이상의 가스 주입구 상에서 실질적으로 중심에 있을 수도 있으며, 제 1 표면에 실질적으로 평행할 수도 있다. 제 1 환상의 배플은 제 1 표면과 제 2 표면 사이에 위치될 수도 있다.
장치의 일부의 나아간 구현들에서, 장치는 원형의 배플을 더 포함할 수도 있다. 원형의 배플은 하나 이상의 가스 주입구 상에서 실질적으로 중심에 있으며, 제 1 표면에 실질적으로 평행하고, 제 1 거리로 제 1 표면으로부터 오프셋될 수도 있다. 제 1 환상의 배플은 제 2 거리로 원형의 배플로부터 오프셋될 수도 있으며, 원형의 배플은 제 1 환상의 배플과 제 1 표면 사이에 있을 수도 있다.
장치의 일부의 나아간 구현들에서, 원형의 배플은 직경을 가질 수도 있고, 제 1 환상의 배플은 중앙 직경을 가질 수도 있으며, 제 1 환상의 배플의 중앙 직경은 원형의 배플의 직경과 실질적으로 동일할 수도 있다. 일부의 이러한 구현들에서 제 1 환상의 배플의 중앙 직경은 원형의 배플의 직경에 대해 10% 이내의 편차를 가질 수도 있다.
장치의 일부의 나아간 구현들에서, 장치는 제 1 표면과 제 2 표면 사이에 걸친 (spanning) 하나 이상의 원주형 (circumferential) 표면을 더 포함할 수도 있다. 하나 이상의 원주형 표면 중 하나는 직경을 가질 수도 있으며, 제 1 환상의 배플은 외경을 가질 수도 있다. 원형의 배플의 직경은 원주형 표면의 외경의 실질적으로 절반일 수도 있고, 제 1 환상의 배플의 외경은 원주형 표면의 직경과 원형의 배플의 직경의 합의 절반과 실질적으로 동일할 수도 있다.
장치의 일부의 나아간 구현들에서, 장치는 제 2 환상의 배플 및 제 3 환상의 배플을 더 포함할 수도 있다. 제 2 환상의 배플 및 제 3 환상의 배플 모두는 하나 이상의 가스 주입구 상에서 실질적으로 중앙에 있고, 제 1 표면에 실질적으로 평행하고, 제 3 거리로 제 1 환상의 배플로부터 오프셋 될 수도 있다. 제 2 환상의 배플과 제 3 환상의 배플은 제 1 환상의 배플과 제 2 표면 사이에 위치될 수도 있으며, 제 1 환상의 배플은 원형의 배플과 제 2 환상의 배플 사이와 원형의 배플과 제 3 환상의 배플 사이에 있을 수도 있다.
일부의 이러한 구현들에서, 원형의 배플과 제 1 표면은 약 0.3"의 갭에 의해 분리될 수도 있으며, 원형의 배플과 제 1 환상의 배플은 약 0.3"의 갭에 의해 분리될 수도 있으며, 제 1 환상의 배플과 제 2 환상의 배플은 약 0.3"의 갭에 의해 분리될 수도 있으며, 제 1 환상의 배플과 제 3 환상의 배플은 약 0.3"의 갭에 의해 분리될 수도 있다.
일부의 나아간 구현들에서, 제 2 환상의 배플과 제 3 환상의 배플은 서로와 살질적으로 동일 평면상에 (co-planar) 있을 수도 있다.
일부의 나아간 구현들에서, 제 1 환상의 배플은 내경과 외경을 가질 수도 있으며, 제 2 환상의 배플 및 제 3 환상의 배플은 중앙 직경을 가질 수도 있다. 제 2 환상의 배플의 중앙 직경은 제 1 환상의 배플의 내경과 실질적으로 동일할 수도 있으며, 제 3 환상의 배플의 중앙 직경은 제 1 환상의 외경과 실질적으로 동일할 수도 있다.
일부의 구현들에서, 제 2 환상의 배플의 중앙 직경은 제 1 환상의 배플의 내경의 10% 이내의 편차를 가질 수도 있으며, 제 3 환상의 배플의 중앙 직경은 제 1 환상의 배플의 외경의 10% 이내의 편차를 가질 수도 있다.
일부의 나아간 구현들에서, 제 2 표면은, 제 1 면 반대편의 페이스 플레이트의 제 2 면과 플레넘 체적을 유체 연결하는 쓰루홀들의 패턴을 갖는 페이스 플레이트의 제 1 면에 의해 정의될 수도 있다.
일부의 장치의 나아간 구현들에서, 제 1 환상의 배플 및/또는 장치에서의 다른 환상의 배플들 (존재하는 경우) 은 원으로 배열되는 경우 환상의 모양을 형성하는 복수의 아치-형상의 배플 세그먼트에 의해 형성될 수도 있다. 일부의 이러한 구현들에서, 장치는 복수의 벽을 포함할 수도 있으며, 벽 각각은 제 1 표면에 실질적으로 직각이며, 제 1 환상의 배플의 인접한 아치-형상의 배플 세그먼트들 사이에 개재 (interposed) 된다. 일부의 나아간 이러한 구현들에서, 벽 각각은 아치-형상의 배플 세그먼트들의 인접한 엣지들을 지지하도록 구성된 적어도 하나의 선반 (ledge) 을 가질 수도 있다. 일부의 이러한 구현들에서, 장치는 또한 제 1 표면과 제 2 표면 사이에 걸친 하나 이상의 원주형 표면을 더 포함할 수도 있으며, 벽 각각은 하나 이상의 원주형 표면으로부터 하나 이상의 가스 주입구로 실질적으로 연장하고, 적어도 제 2 표면과 제 1 환상의 배플 사이에 걸치는 실질적으로 방사상의 벽일 수도 있다.
일부의 장치의 나아간 구현들에서, 장치는 제 1 표면을 제공하는 백플레이트를 더 포함할 수도 있다. 이러한 구현들에서, 하나 이상의 가스 주입구는 제 1 환상의 배플 상에서 가스를 분배하도록 배열될 수도 있다.
일부의 장치의 나아간 구현들에서, 장치는 제 2 환상의 배플 및 제 3 환상의 배플을 더 포함할 수도 있다. 제 2 환상의 배플 및 제 3 환상의 배플 모두는 하나 이상의 가스 주입구 상에서 실질적으로 중심에 있으며, 제 1 표면에 실질적으로 평행하고, 제 2 거리로 제 1 환상의 배플로부터 오프셋될 수도 있다. 제 2 환상의 배플과 제 3 환상의 배플은 제 1 환상의 배플과 제 2 환상의 배플 사이에 위치될 수도 있으며, 제 1 환상의 배플은 제 1 표면과 제 2 환상의 배플 사이 및 제 1 표면과 제 3 환상의 배플 사이에 있을 수도 있다. 일부의 이러한 구현들에서, 제 2 환상의 배플과 제 3 환상의 배플은 서로와 실질적으로 동일 평면상에 있을 수도 있다.
일부의 이러한 구현들에서, 제 1 환상의 배플은 내경과 외경을 가질 수도 있고, 제 2 환상의 배플 및 제 3 환상의 배플은 중앙 직경을 가질 수도 있다. 제 2 환상의 배플의 중앙 직경은 제 1 환상의 배플의 내경과 실질적으로 동일할 수도 있고, 제 3 환상의 배플의 중앙 직경은 제 1 환상의 배플의 외경과 실질적으로 동일할 수도 있다. 일부의 이러한 구현들에서, 제 2 환상의 배플의 중앙 직경은 제 1 환상의 배플의 내경의 10% 이내의 편차를 가질 수도 있고, 제 3 환상의 배플의 중앙 직경은 제 1 환상의 배플의 외경의 10% 이내의 편차를 가질 수도 있다.
장치의 일부의 구현들에서, 장치는 하나 이상의 환상의 배플층들, 제 1 환상의 배플에 의해 형성될 수도 있는 제 1 환상의 배플층을 포함할 수도 있다. 환상의 배플층들은 환상의 배플층들과 실질적으로 직각인 방향으로 서로로부터 떨어질 수도 있다. 환상의 배플층 각각은 하나 이상의 환상의 배플을 포함할 수도 있으며, 환상의 배플 각각은 하나 이상의 가스 주입구 상에서 실질적으로 중심에 있을 수도 있다. 환상의 배플층 각각은 환상의 배플층의 제 1 표면 사이의 근접 환상 배플층보다 2배의 환상의 배플을 가질 수도 있다. 환상의 배플 각각은 중앙 직경, 외경 및 내경을 가질 수도 있으며, 제 1 환상의 배플층이 아닌 환상의 배플층 각각에 대해, 그 환상의 배플층 내의 환상의 배플 각각의 중앙 직경은, 그 환상의 배플층과 제 1 표면 사이의 근접 환상의 배플층에서, 환상의 배플 또는 환상의 배플들의 내경 또는 내경들 및 외경 또는 외경들 중 상이한 하나와 실질적으로 동일할 수도 있다.
일부의 이러한 구현들에서, 제 1 환상의 배플층이 아닌 환상의 배플층 각각에 대해, 그 환상의 배플층에서의 환상의 배플 각각의 중앙 직경은, 그 환상의 배플층과 제 1 표면 사이의 근접 환상의 배플층에서, 환상의 배플 또는 환상의 배플들의 내경 또는 내경들 및 외경 또는 외경들 중 상이한 하나의 10% 이내의 편차를 가질 수도 있다.
일부의 장치의 다른 구현들에서, 환상의 배플층 각각은 적어도 0.05"만큼 모든 근접 환상의 배플층들로부터 떨어질 수도 있다.
장치의 일부의 구현들에서, 하나 이상의 환상의 배플은 실질적으로 환상의 형상으로 배열된 복수의 아치-형상의 배플 세그먼트로부터 형성될 수도 있다. 이러한 구현들에서, 장치는 환상의 배플의 인접한 아치-형상의 배플 세그먼트들 사이에 위치되고, 플레넘 체적 내에서 아치-형상의 배플 세그먼트를 지지하도록 구성된 복수의 벽을 더 포함할 수도 있다.
일부의 구현들에서 반도체 프로세싱 스테이션은 위에 설명된 바와 같은 장치를 포함할 수도 있다. 일부의 나아간 구현들에서, 반도체 프로세싱 툴은 이러한 반도체 프로세싱 스테이션을 포함할 수도 있다. 일부의 구현들에서, 반도체 프로세싱 툴은 스테퍼 (stepper) 를 포함할 수도 있다.
다양한 구현들이 본 명세서에서 설명되는 동안, 이들은 예시적인 방법으로 제시될 뿐이며, 한정으로 제시되지 않는다는 것이 이해되어야한다. 따라서, 본 개시의 청구 범위는 본 명세서에서 설명된 임의의 구현들에 의해 한정되지 않으며, 이하의 청구항들과 그들의 균등물에 따라서만 정의된다.
이하는 본 명세서에서 구현들이 채용될 수도 있는 반도체 프로세싱 툴들의 상업적으로-입수가능한 비제한적인 예시들로 제공된다: Lam Research, Inc (프레몬트, 캘리포니아) 로부터 입수가능한 제품 VECTOR®, ALTUS®, INOVA®, GAMMA™, G3D™, G400®, GxT™, Kiyo®, Versys®, Excelan® 및 Flex™ 그 페밀리 제품; Applied Materials, Inc. (산타 클라라, 캘리포니아) 로부터 입수가능한 제품 Centura™, Endura™ 및 Producer™ 그 페밀리 제품; Tokyo Electron Limited (도쿄, 일본) 으로부터의 Triase+ ™, Telius™, Tactras™ 및 UNITY™ 그 페밀리 제품; 및 Mattson Technology, Inc. (프레몬트, 캘리포니아) 로부터의 Aspen™, SUPREMA™, Nexion™ 및 Alpine® 그 페밀리 제품.
도 1a는 캐스케이드 (cascade) 샤워헤드의 예시적인 구현의 컨셉적인 단면도를 도시한다.
도 1b는 도 1a로부터의 예시적인 캐스케이드 샤워헤드의 측면 단면도를 도시한다.
도 1c는 샤워헤드 내의 공칭의 가스 흐름 방향을 나타내는 화살표를 따라 도 1b로부터의 예시적인 캐스케이드 샤워헤드의 측면 단면도를 도시한다.
도 1d는 도 1a의 예시적인 캐스케이드 샤워헤드의 컨셉적인 분해도를 도시한다.
도 1e는 점선의 직사각형으로 지시된 도 1d의 부분의 상세도를 도시한다.
도 2a는 캐스케이드 샤워헤드의 다른 예시적인 구현의 컨셉적인 단면도를 도시한다.
도 2b는 도 2a로부터의 예시적인 케스케이드 샤워헤드의 측면 단면도를 도시한다.
도 2c는 도 2a의 예시적인 캐스케이드 샤워헤드의 컨셉적인 분해도를 도시한다.
도 2d는 점선의 직사각형으로 지시된 도 2c의 부분의 상세도를 도시한다.
도 3a는 단일의 원형의 배플을 갖는 예시적인 샤워헤드에 대해, 다양한 시간에서 웨이퍼로의 반응물 매스 전달 레이트를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다.
도 3b는 도 3a의 예시적인 샤워헤드가 웨이퍼를 위해 설계된 그 웨이퍼보다 약 50% 더 큰 웨이퍼용으로 설계된 단일의 원형의 배플을 갖는 예시적인 샤워헤드에 대해, 다양한 시간에서 웨이퍼로의 반응물 매스 전달 레이트를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다.
도 3c는 캐스케이드 샤워헤드 설계를 갖는 예시적인 샤워헤드에 대해, 다양한 시간에서 웨이퍼로의 반응물 매스 전달 레이트를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다.
도 3d는 도 3c의 흐름 레이트의 2배의 흐름 레이트를 갖는 도 3c의 예시적인 캐스케이드 샤워헤드에 대해, 다양한 시간에서 웨이퍼로의 반응물 매스 전달 레이트를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다.
도 4a는 다양한 샤워헤드 타입에 대한 5 초를 넘는 반응물 흐름으로 웨이퍼로 전달된 반응물 매스 총합을 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다.
도 4b는 다양한 샤워헤드 타입에 대한 도 4a의 5초의 반응물 흐름 다음의 5 초 퍼지 흐름 후 웨이퍼로 전달된 반응물 매스 총합을 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다.
도 5는 캐스케이드 샤워헤드의 다른 구현의 예시의 컨셉적인 측면도를 도시한다.
도 6은 캐스케이드 샤워헤드의 다른 예시의 컨셉적인 측면도를 도시한다.
도 7은 반도체 프로세스 챔버에 설치된 캐스케이드 샤워헤드의 컨셉적인 측면도를 도시한다.
도 8은 캐스케이드 샤워헤드와 함께 사용될 수도 있는 다중-스테이션 프로세싱 툴의 사시도를 나타낸다.
도 1a 내지 도 1e 및 도 2a 내지 도 2d는 스케일되도록 그려졌다.
다양한 구현들의 예시들은 첨부된 도면들에서 도시되며 이하에서 더 설명된다. 본 명세서에서의 설명이 설명된 특정한 구현들로 청구항들을 한정하지 않도록 의도된다는 점이 이해될 것이다. 반면에, 첨부된 청구항들에 의해 정의되는 발명의 범위 및 사상 내에 포함될 수도 있는 것과 같이, 변형들, 대체물들 및 균등물들을 커버하는 것으로 의도된다. 이하의 설명에서, 다수의 구현-특정한 구체 사항들이 본 발명의 완전한 이해를 제공하기 위해 제시된다. 본 발명은 일부 또는 모든 이러한 구현-특정한 세부 사항들 없이도 실시될 수도 있다. 다른 경우들에서, 잘-알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 자세하게 설명되지 않는다.
캐스케이드형 내부 배플 배열을 특징으로 하는 샤워헤드의 다양한 구현들이 본 명세서에서 설명된다. 통상의 샤워헤드 설계는 샤워헤드 플레넘으로 도입된 가스를 페이스 플레이트로의 분사로부터 막기 위해, 중앙 가스 주입구의 전면에 위치되는 단일의 원형의 배플 플레이트를 사용할 수도 있으며, 이는 과도적인 그리고 안정-상태 흐름 모두 동안 페이스 플레이트의 중앙으로 향한 강한 매스 흐름 편향 (bias) 를 발생시킨다.
이에 반해, 샤워헤드 플레넘 체적 내에서 다중-층이며 캐스케이드 구성으로 배열된 복수의 환상의 배플을 특징으로 하는 샤워헤드가 개시된다. 이러한 캐스케이드 샤워헤드는, 특히 과도 흐름 조건 동안 이러한 샤워헤드를 이용하여 프로세싱된 웨이퍼에 걸쳐, 통상의, 단일의 배플 샤워헤드와 비교하는 경우보다 우월한 가스 전달 균일성을 제공한다. 다수의 통상적인 반도체 제작 프로세스 동안, 샤워헤드를 통한 가스 흐름은 오래 지속되는 기간 동안 발생할 수도 있으며, 이러한 가스 흐름이 흐름 램프-업 (ramp-up) 및 램프-다운 (ramp-down) 동안 과도의 조건을 경험하는 와중에, 이러한 가스 흐름의 대부분은 안정-상태 조건에 있다. 안정-상태 흐름 조건 하에서, 페이스 플레이트를 통해 플레넘 밖으로의 가스 흐름 분배는 페이스 플레이트 내의 홀 패턴의 기하학적 구조에 의해 주요하게 지배되어야하며 통상적으로 지배된다.
본 발명의 발명자들은 샤워헤드 내의 캐스케이드 배플 배열을 제공하는 것이 과도 흐름 조건 동안 샤워헤드의 페이스 플레이트를 가로지르는 가스 전달 균일성을 크게 향상시킬 수 있다는 것을 인식하였다. 본 발명의 발명자들은 또한 이러한 향상된 과도-흐름 가스 분배가, 샤워헤드를 통한 가스 흐름이 짧은 인터벌 동안 펄싱될 수도 있는 원자층 증착 (atomic layer deposition, ALD) 프로세스와 같은 짧은 싸이클 시간을 갖는 프로세스에 특히 이득이 될 수도 있다는 것을 인식하였다. 이러한 펄싱된 흐름에서, 과도 흐름 조건은 안정-상태 흐름 조건 동안 지배할 수도 있으며, 또는 안정-상태 흐름 조건이 절대 달성되지 못할 수도 있다.
본 발명의 발명자들은 본 명세서에서 설명된 바와 같은 캐스케이드 샤워헤드가 또한 안정 상태 조건이 지배할 수도 있는 더 긴-낮은 프로세스에 사용될 수도 있다는 점을 또한 인식하였다. 예를 들어, 과도 흐름 조건 동안 발생하는 방사상 위치의 함수로 매스 전달에 임의의 초기 편차는 안정-상태 흐름 조건 동안 웨이퍼를 가로질러 실질적으로 균일한 가스 전달에도 불구하고 다음의 안정-상태 흐름 조건 동안 증착이 편향 (skewed) 되게 할 수도 있으며 예를 들어 전체 프로세스에서 중앙-집중 증착을 야기할 수도 있다. 다른 가능성은 임의의 과도 매스 전달 비-균일성이 실질적 비-균일한 피쳐들을 갖는 초기 막 성장을 야기할 수도 다는 점이다. 다음으로 이러한 비-균일한 피쳐들은 실질적 재료가 추가되는 경우 전체 막 두께를 통해 전파될 수도 있다. 따라서, 안정-상태 흐름 조건에 의해 지배되는 긴 흐름 시간을 갖는 프로세스에서도, 캐스케이드 샤워헤드는 이러한 프로세스의 과도-흐름 부분 동안 도입될 수도 있는 비-균일성에 대응하는데 사용될 수도 있다.
도 1a는 캐스케이드 샤워헤드의 구현의 예시의 컨셉적인 단면도를 도시한다. 도 1a에 보이는 바와 같이, 샤워헤드 (100) 는 백플레이트 (164) 와 샤워헤드 바디 (166) 를 특징으로 하는 것으로 도시된다; 샤워헤드 바디 (166) 는 페이스 플레이트 (124) 의 제 1 면 (126) 과 제 2 면 (128) 사이를 연통하는 쓰루-홀들 (130) 의 패턴을 특징으로 하는 페이스 플레이트 (124) 를 포함할 수도 있다. 예컨대, 백 플레이트 (164) 에 의해 제공되는 제 1 표면 (104), 예컨대 페이스 플레이트 (124) 의 제 1 면 (126) 에 의해 제공되는 제 2 표면 (106) 은, 예컨대 샤워헤드 바디 (166) 의 내측 표면 또는 표면들에 의해 제공되는 원주형 표면 또는 표면들 (108) 과 함께 플레넘 체적 (102) (플레넘 체적 (102) 의 더 자세한 내용은 도 1b를 참조) 을 실질적으로 정의할 수도 있다. 플레넘 체적 (102) 은 프로세스 가스, 예컨대 가스 주입구 또는 주입구들 (110) 을 통한 퍼지 가스 또는 반응물로 공급될 수도 있다. 가스 주입구(들) (110) 는 쓰루홀들 (130) 의 홀 패턴에서 실질적으로 중앙에 있을 수도 있으며, 프로세스 가스 공급기 또는 공급기들과 연결될 수도 있다.
다수의 배플 구조는 캐스케이드 배플 배열을 제공하도록 플레넘 체적 (102) 에 포함될 수도 있다. 참조는 또한 도 1a로부터의 예시적인 캐스케이드 샤워헤드의 측 단면도를 도시하는, 도 1b에 지시된 거리들과 치수들로 아래에 만들어질 수도 있다. 이러한 배플 구조는 가스 주입구 (110) 전면에 그리고 실질적으로 중앙에 위치되는 원형의 배플 (112) 을 포함할 수도 있다. 원형의 배플 (112) 은 제 1 거리 (114) (도 1b 참조) 로 제 1 표면 (104) 으로부터 오프셋될 수도 있다. 제 1 환상의 배플 (118) 은 원형의 배플 (112) 아래에 위치될 수도 있으며 원형의 배플 (112) 상에서 실질적으로 중앙에 있을 수도 있다; 제 1 환상의 배플 (118) 은 제 2 거리 (116) 로 원형의 배플 (112) 로부터 오프셋될 수도 있다.
도시된 구현에서, 제 2 환상의 배플 (120) 과 제 3 환상의 배플 (122) 이 또한 도시된다. 제 2 환상의 배플 (120) 과 제 3 환상의 배플 (122) 은 제 3 길이 (117) 로 제 1 환상의 배플 (118) 로부터 오프셋되며 서로와 동일 평면상에 (co-planar) 있을 수도 있다.
원형의 배플 (112) 은 직경 (140) 을 가질 수도 있으며, 환상의 배플 각각은 외경, 내경 및 외경과 내경 사이에서 가운데 중앙 직경을 갖는 것으로 일반적으로 설명될 수도 있다. 따라서, 예를 들어, 제 1 환상의 배플 (118) 은 외경 (146), 내경 (144) 및 중앙 직경 (142) 를 가질 수도 있다; 제 2 환상의 배플 (120) 은 외경 (152), 내경 (150) 및 중앙 직경 (148) 을 가질 수도 있다; 그리고 제 3 환상의 배플 (122) 은 외경 (158), 내경 (156) 및 중앙 직경 (154) 를 가질 수도 있다. 추가적으로, 원주형의 표면 (108) 은 직경 (160) 을 가질 수도 있다; 원주형의 표면이 복수의 실질적 직경을 갖는 경우, 직경 (160) 은 최외각의 직경을 지칭할 수도 있다.
도 1a 및 도 1b에서 보이는 바와 같이, 환상의 배플 각각은 그 중앙 직경이 그 위의 환상의 배플의 외경 또는 내경과 실질적으로 동일하도록 (또는 제 1 환상의 배플의 경우, 중앙 직경 (142) 이 직경 (140) 과 실질적으로 동일하도록) 사이징된다. 일부의 구현에서, 환상의 배플들의 중앙 직경은 그 위의 배플의 외경, 내경, 또는 직경과 정확하게 동일하지 않을 수도 있으나, 실질적으로 동일, 예컨대 이러한 값들과의 편차가 10% 이내일 수도 있다.
도 1a에 도시된 구현예와 관련하여, 직경 (140) 은 10''일 수도 있고, 외측 직경 (146) 은 14.5''일 수도 있고, 내측 직경 (144) 은 5.5''일 수도 있고, 외측 직경 (152) 은 7''일 수도 있고, 내측 직경 (150) 은 4''일 수도 있고, 외측 직경 (158) 은 18''일 수도 있으며, 내측 직경 (156) 은 11''일 수도 있다. 따라서 중앙-직경 (142) 은 10''일 수도 있고, 중앙-직경 (148) 은 5.5''일 수도 있으며, 중앙-직경 (154) 은 14.5''일 수도 있다. 도시된 구현예에서, 직경 (160) 은 20''일 수도 있다. 다른 구현예들은 다른 치수들 및 종횡비들을 갖는 환상의 배플들, 원형의 배플들, 및 원주형 표면들을 특징으로 할 수도 있다.
이웃하고 중첩하는 배플들 사이의 그러한 거리들에 기인한 흐름 저항 (flow resistance) 이 배플 스택의 전체 흐름 저항에 상당히 기여하지 않도록, 제1 거리 (114), 제2 거리 (116), 및 제3 거리 (117) 가 사이징될 (sized) 수도 있다. 또한, 그러한 거리들에 기인하여 생성된 흐름 저항 효과들이 배플 쌍들 사이의 크기에서 유사하도록, 그러한 거리들은, 예를 들어, 서로 실질적으로 동일하도록 사이징될 수도 있다. 도시된 구현예에서, 예를 들어, 제1 거리 (114), 제2 거리 (116), 및 제3 거리 (117) 는 0.3''이다. 그러나, 다른 구현예에서, 중간-배플 (inter-baffle) 간격은, 예를 들어, 배플 중첩 영역들에서의 흐름 저항들을 조정하기 위해 상이할 수도 있다. 일부 구현예들에서, 이러한 거리들은 플레넘 체적 (102) 에 의해 제한될 수도 있다. 예를 들어, 개조 (retrofit) 상황에서, 예를 들어 샤워헤드가 샤워헤드의 전체 두께를 제한하는 이미-존재하는 장비와 호환가능하여야 하는 경우, 이러한 거리들에 대한 상측 한계가 있을 수도 있다. 이 상측 한계는 사용되는 환상의 배플층들의 개수에 의존하여 감소할 수도 있다. 일부 구현예들에서, 중간-배플 간격은 0.05''보다 큰 값으로 설정될 수도 있다. 일부 다른 구현예들에서, 중간-배플 간격은 0.2''보다 큰 값으로 설정될 수도 있다.
도 1c는 샤워헤드 내의 공칭의 가스 흐름 방향을 나타내는 화살표를 따라 도 1b로부터의 예시적인 캐스케이드 샤워헤드의 측면 단면도를 도시한다. 이 간략화된 표현에서 보이는 바와 같이, 가스가 배플의 엣지 위로 그리고 엣지 아래의 배플 상으로 흐름에 따라, 가스의 일 부분은 방사상으로 외측으로 흐르고, 가스의 나머지 부분은 방사상으로 내측으로 흐른다. 각각의 그러한 과도에서, 가스 흐름은 더 세분되고 (subdivided), 페이스 플레이트 (124) 에서의 보다 균일한 가스 분포, 즉, 가스가 거의 동일한 시간에 페이스 플레이트의 상부에서의 다양한 방사상 위치들에 도달하는 것을 가져온다.
도 1d는 도 1a의 예시적인 캐스케이드 샤워헤드의 컨셉적인 분해도를 도시한다. 샤워헤드 (100) 의 다양한 내부 피쳐들이 본 도면에서 보다 상세하게 보일 수도 있다. 백플레이트 (164) 가 도시되고, 백플레이트 (164) 와 샤워헤드 바디 (166) 사이의 밀봉 (hermetic seal) 을 제공하는데 사용될 수도 있는 시일 (seal; 168) 이 도시된다. 복수의 나사들 또는 다른 패스너들이 백플레이트 (164) 를 샤워헤드 바디 (166) 에 클램핑하는데 사용될 수도 있다. 다른 구현예들에서, 그러한 어셈블리가 용접된 또는 접착된 어셈블리에 의해 대체될 수도 있다. 백플레이트 (164) 및 샤워헤드 바디 (166) 는 반도체 프로세싱 환경과 호환 가능한 다양한 물질들, 예를 들어, 알루미늄 합금, 세라믹 등으로부터 제조될 수도 있다. 백플레이트 (164) 및 샤워헤드 바디 (166) 는 동일한 물질로 제조될 필요는 없다.
본 구현예에서, 3개의 장착 나사들을 통해 샤워헤드 바디 (166) 의 지지 구조에 고정되는 원형의 배플 (112) 가 도 1d에서 시인가능하다. 또한, 제1 환상의 배플 (118), 제2 환상의 배플 (120), 및 제3 환상의 배플 (122) 이 도 1d에서 시인가능하다. 본 구현예에서, 각각의 그러한 환상의 배플은 원형의 패턴에서의 복수의 아치-형상 배플 세그먼트들 (132) 을 배열함에 의해 형성된다. 배플 세그먼트들 (132) 은 그들의 인접한 엣지들 (138) 이 작은 갭에 의해 분리되도록 배열될 수도 있다. 각각의 배플 세그먼트 (132) 는 인접한 엣지들 (138) 사이에 개재된 벽들 (134) 에서의 선반들 (136) 에 의해 지지될 수도 있다. 도 1e는 점선의 직사각형으로 지시된 도 1d의 부분의 상세도를 도시한다; 페이스 플레이트 (124) 에서의 선반들 (136) 및 쓰루홀들 (130) 은 상세한 도면에서 보다 명확하게 시인될 수도 있다.
필요한 경우, 선반들 (136) 은, 환상의 배플들이 서로 상이한 오프셋들에 위치되는 것을 허용하기 위해, 벽들 (134) 에서의 상이한 높이들에서 제공될 수도 있다. 배플 세그먼트들 (132) 이 선반들 (136) 없이 미끄러지는 것을 방지하기 위해, 배플 세그먼트들 (132) 은 땜질되거나, 접착되거나, 전체 어셈블리에 대해 제자리에 달리 유지될 수도 있다.
본 개시에서의 "환상의 배플"에 대한 기준은 "순수한" 환상의 구조들, 예를 들어, 깨지지 않은 환상의 형상들뿐만 아니라 다수의 비-환상의, 보다 작은 구조물들, 예를 들어, 배플 세그먼트들 (132) 로부터 개발된 환상의 구조물들도 포함한다. 본 명세서에 기재된 환상의 배플들 및 원형의 배플들 또한 그들의 환상 (annularity) 또는 원형 (circularity) 을 손상시키지 않는 다른 피쳐들, 예를 들어, 장착 홀들을 포함할 수도 있음이 또한 이해될 것이다. 예를 들어, 벽들 (134) 의 어레이로 각각의 환상의 배플을 지지하는 것 대신에, 배플들에서의 복수의 스탠드오프들 (standoffs), 나사들, 및 쓰루홀들이 앞서 설명된 것과 유사한 구성으로 배플들을 서로 이격시키는데 사용될 수도 있다.
캐스케이드 샤워헤드의 일부 구현예들이 플레넘 체적 내의 원형의 배플을 포기할 수도 있음이 이해될 것이다. 예를 들어, 중앙-위치된 가스 주입구 또는 주입구들 대신에, 캐스케이드 샤워헤드는, 제1 환상의 배플의 중앙-직경에 대응하는 환상의 구역에 위치된 가스 주입구들의 원형 패턴을 통해 프로세스 가스를 플레넘 체적으로 주입시킬 수도 있다.
도 2a는 원형의 배플이 없는 캐스케이드 샤워헤드의 예시적인 구현의 컨셉적인 단면도를 도시한다. 도 1a의 샤워헤드 (100) 와 관련하여 다수 유사한 샤워헤드 (200) 이 도 2a에 도시된다. 예를 들어, 샤워헤드 (200) 는 도 1a의 제1 환상의 배플 (118), 제2 환상의 배플 (120), 및 제3 환상의 배플 (122) 이 배열된 것과 실질적으로 동일한 방식으로 배열된 제1 환상의 배플 (218), 제2 환상의 배플 (220), 및 제3 환상의 배플 (222) 을 포함한다. 제1 면 (226) 및 제2 면 (228) 을 연결하는 쓰루홀들 (230) 을 갖는 페이스 플레이트 (224) 도 도시된다. 페이스 플레이트 (224) 는 백플레이트 (264) 와 연결될 수도 있는 샤워헤드 바디 (266) 의 부분을 형성할 수도 있다. 시일 (268) 이 샤워헤드 바디 (266) 와 백플레이트 (264) 사이에 개재될 수도 있다. 플레넘 체적 (202) (플레넘 체적 (202) 의 추가적인 지시를 위해 도 2b 참조) 이, 예를 들어 백플레이트 (264) 에 의해 제공된 제1 표면 (204), 예를 들어 페이스 플레이트 (224) 에 의해 제공된 제2 표면 (206), 및 원주형 표면 또는 표면들 (208) 에 의해 정의될 수도 있다.
특히, 예를 들어, 도 1a의 원형의 배플 (112) 과 같은 원형의 배플이 도 2a에 부재한다. 대신에, 백플레이트 (264) 는 엔드 캡 (end cap) (265) 에 의해 캡핑된 (capped) 리세스된 영역을 포함한다. 리세스된 영역은 가스 주입구들 (210) 의 원형의 패턴을 통해 플레넘 체적 (202) 과 유체 연결되는 제2 플레넘 체적 (203) 을 형성할 수도 있다. 제2 플레넘 체적은 중앙 가스 공급부 또는 공급부들 (211) 에 의해 공급될 수도 있다. 제2 플레넘 체적 (203) 으로 흐르는 가스는, 가스 주입구들 (210) 의 원형의 패턴에 의해 제공되는 방사상 대칭에 기인하여 실질적으로 고르게-분배되는 방식으로 제2 플레넘 체적 (203) 으로부터 가스 주입구들 (210) 을 통해 플레넘 체적 (202) 으로 흐를 수도 있다. 가스 주입구들 (210) 은 제1 환상의 배플 (218) 의 중앙-직경 위에 놓이도록 배치될 수도 있다. 따라서, 제2 플레넘 체적 (203) 및 가스 주입구들 (210) 의 원형의 패턴은 도 1a의 원형의 배플과 유사한 기능을 제공할 수도 있다. 실제적으로, 제2 플레넘 체적 (203) 을 플레넘 체적 (202) 으로부터 분리하는 백플레이트 (264) 의 부분은 원형의 배플과 유사한 방식으로 동작할 수도 있으나, 플레넘 체적 내에 위치되는 것 대신에 이 부분은 플레넘 체적 (202) 의 경계의 일부로서 동작한다. 제2 플레넘 체적 및 가스 주입구들의 원형의 패턴이 도 2a 내지 도 2d에 도시된 것들과 다른 지오메트리를 사용하여 제공될 수도 있다는 것이 이해될 것이다.
도 2b는 도 2a로부터의 예시적인 케스케이드 샤워헤드의 측면 단면도를 도시한다. 본 도면은 제2 플레넘 체적 (203) 및 플레넘 체적 (202) 을 보다 명확하게 도시할 수도 있다.
도 2c는 도 2a의 예시적인 캐스케이드 샤워헤드의 컨셉적인 분해도를 도시한다. 보이는 바와 같이, 본 구현예는 또한 도 1d에 도시된 바와 같은 단편적인 제1 환상의 배플 대신에 연속하는 제1 환상의 배플 (218) 을 특징으로 한다. 점선의 직사각형으로 지시된 도 2c의 부분의 상세도를 도시하는 도 2d로부터 아마도 보다 명확한 것처럼, 연속하는 제1 환상의 배플 (218) 의 사용은 상이한 지지 구조 구성을 허용할 수도 있다. 예를 들어, 제1 환상의 배플 (218) 은 벽들 (234) 의 상부 표면을 따라 리세스된 선반들 (236) 내에 받혀질 수도 있는 반면, 배플 세그먼트들 (232) 은 벽들 (234) 의 측부들에서의 선반들 (236) 에 의해 엣지들 (238) 을 따라 지지될 수도 있다.
따라서, 환상의 배플들의 캐스케이드 배열들은 원형의 배플을 특징으로 하는 샤워헤드들과 함께는 물론 원형의 배플을 포함하지는 않으나 제1 원형 배플의 중앙-직경에서 실질적으로 원형의 패션으로 배열된 가스 주입구들을 제공하는 샤워헤드들과 함께 사용될 수도 있다.
본 개시에서 앞서 언급된 바와 같이, 본 개시에서 설명된 바와 같은 캐스케이드 샤워헤드들은 단일의, 원형 배플을 특징으로 하고 원형 배플들의 캐스케이딩 배열을 특징으로 하지 않는 종래의 샤워헤드들에 비해 대단히 개선된 성능을 제공할 수도 있다.
도 3a 내지 도 3d는 본 명세서에서 설명된 바와 같은 캐스케이드 샤워헤드 설계들과 보다 종래의 샤워헤드들, 예를 들어, 단일-배플, 원형의 플레이트 샤워헤드들 사이의 성능상 차이를 입증하는 다양한 그래프들을 도시한다. 도 3a는 단일의 원형의 배플을 갖는 예시적인 샤워헤드에 대해, 다양한 시간에서 웨이퍼로의 반응물 매스 전달 레이트를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다. 도 3b는 도 3a의 예시적인 샤워헤드가 웨이퍼를 위해 설계된 그 웨이퍼보다 약 50% 더 큰 웨이퍼용으로 설계된 단일의 원형의 배플을 갖는 예시적인 샤워헤드에 대해, 다양한 시간에서 웨이퍼로의 반응물 매스 전달 레이트를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다. 도 3c는 캐스케이드 샤워헤드 설계를 갖는 예시적인 샤워헤드에 대해, 다양한 시간에서 웨이퍼로의 반응물 매스 전달 레이트를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다. 도 3d는 도 3c의 흐름 레이트의 2배의 흐름 레이트를 갖는 도 3c의 예시적인 캐스케이드 샤워헤드에 대해, 다양한 시간에서 웨이퍼로의 반응물 매스 전달 레이트를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다. 도 3a 내지 도 3d는 동일한 양에 의해 Y-축에 모두 스케일링되었다. 도 3a 내지 도 3d에 의해 나타내진 가스 흐름들은 모두 5s의 반응물 흐름에 후속하는 5s의 퍼지 가스 흐름을 포함하지만, 플롯들은 오직 웨이퍼로 전달되는 반응물 매스의 양만을 도시하였다. 도 3b 및 도 3c에 나타내진 가스 흐름 레이트는 도 3a에 나타내진 가스 흐름 레이트의 대략 2.25배인 반면, 도 3d에 나타내진 가스 흐름 레이트는 도 3c에 나타내진 가스 흐름 레이트의 대략 2배이다.
도 3a 및 도 3b와 관련하여, 도 3a 및 도 3b에 나타내진 샤워헤드에 의한 웨이퍼의 표면을 걸치는 반응물 매스-전달 레이트의 현저한 변화가 있다는 것이 이해될 것이다. 전술한 바와 같이, 도 3a 및 도 3b에 의해 나타내진 샤워헤드들은 둘 모두 플레넘 체적 내의 단일의, 원형의 배플 플레이트를 특징으로 하고, 플레넘 체적 내의 환상의 배플들의 캐스케이드 배열을 포함하지 않는다. 나아가, 웨이퍼에 걸친 중앙-대-엣지 매스-전달 레이트에서의 변화는, 도 3a의 샤워헤드가 50%만큼의 웨이퍼의 직경에서의 증가를 수용하도록 증가되고 흐름 레이트가 도 3b에서 나타내진 샤워헤드에 이르기 위해 도 3a의 흐름 레이트보다 2.25배 증가됨에 따라 증가한다. 이 매스-전달 레이트 변화는 과도 흐름 (t = 1s) 동안 및 보다 진전된 흐름 (t = 9s) 동안 보여진다. t=9s 에서의 반응물 매스-전달 레이트의 감소는, 샤워헤드로의 반응물 흐름이 t=5s에서 중단된 사실 및 플레넘 체적 내의 잔류 반응물이 그 후 후속하는 퍼지 가스 흐름 동안 연속적으로 감소하는 양으로 블리드 오프된 (bled off) 사실에 기인한다는 것이 이해될 것이다.
대조적으로, 도 3c 및 도 3d와 관련하여, 예를 들어, 도 1a의 캐스케이스 샤워헤드와 유사한 가스 전달을 위한 캐스케이드 샤워헤드를 이용하는 것이 방사상 위치와 관련하여 웨이퍼로의 프로세스 가스의 매스-전달 레이트의 변화를 대단히 감소시킬 수도 있다는 것이 이해될 수 있다. 도 3b, 도 3c, 및 도 3d가 동일한 웨이퍼 사이즈들, 즉, 도 3a에 나타내진 웨이퍼 사이즈보다 직경이 50% 더 큰 웨이퍼 사이즈들을 갖는 시나리오들을 나타낸다는 것이 이해될 것이다. 보이는 바와 같이, 도 3c의 t = 1s에서의 웨이퍼에 걸친 매스-전달 레이트의 피크-대-골 (peak-to-trough) 변화가 도 3b의 t = 1s에서의 웨이퍼에 걸친 매스-전달 레이트의 변화의 50%보다 적다. 나아가, 매스-전달 레이트 변화에서의 그러한 감소들은 플롯팅된 시간에서의 모든 5개의 예시들에서 도 3c 및 도 3d의 캐스케이드 샤워헤드 구현예들에 의해 보여진다. 보다 큰 웨이퍼 사이즈를 갖는 웨이퍼에 걸친 매스 전달 레이트의 증가된 균일도에 기인하여, 캐스케이드 샤워헤드들은 더 새로운, 450 mm 웨이퍼 프로세스들에 특히 매우 적합할 수도 있지만, 본 명세서에서 설명된 바와 같은 캐스케이드 샤워헤드들은 물론 450 mm보다 크고 450 mm보다 작은 둘 모두의 웨이퍼의 다른 사이즈들을 위한 프로세스들의 사용을 위해 매우 적할 수도 있다.
도 3c에 나타내진 캐스케이드 샤워헤드는 또한 더 높은 흐름 레이트들에서의 증가된 매스-전달 레이트 균일도를 입증한다. 예를 들어, 도 3d는 도 3c에 나타내진 것보다 2배 높은 가스 흐름에 대한 도 3c의 샤워헤드의 웨이퍼 중앙으로부터의 거리의 함수로 웨이퍼로의 매스-전달 레이트를 입증한다. 분명한 바와 같이, 웨이퍼로의 매스-전달 레이트는, 심지어 증가된 흐름 조건들에서도 비-캐스케이드, 단일 원형의 배플 샤워헤드와 비교하여, 캐스케이드를 사용하여 항상 웨이퍼 직경을 걸쳐 상대적으로 균일하게 남는다.
도 4a는 다양한 샤워헤드 타입에 대한 5초 반응물 흐름 후 웨이퍼로 전달된 총 반응물 매스를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다. 도 4b는 다양한 샤워헤드 타입에 대한 도 4a의 5초의 반응물 흐름 다음의 5 초 퍼지 흐름 후 웨이퍼로 전달된 총 반응물 매스를 웨이퍼 중앙으로부터의 거리의 함수로 나타내는 그래프를 도시한다. 도 4b의 매스-전달 값들이 5s 반응물 흐름 다음의 5s 퍼지 흐름 동안 발생하는 방사상 웨이퍼 위치의 함수로서 총 매스 전달을 반영하는 반면, 도 4b에 도시된 총 매스 전달은 도 4a의 5s 반응물 흐름 동안 전달되는 반응물 매스를 포함하지 않는다는 것이 이해될 것이다.
도 3a 내지 도 3이 웨이퍼의 방사상 위치의 함수로서 즉각적인 매스-전달 값들을 나타내는 반면, 도 4a 및 도 4b는 웨이퍼의 방사상 위치의 함수로서 집적된 매스-전달 값들을 나타낸다. 집적된 매스-전달 값들이 웨이퍼 피쳐 균일도의 예측 변수로서 작동할 수도 있으므로, 그러한 플롯들은 상이한 타입들의 샤워헤드들 사이의 프로세스 균일도에 대한 이해를 제공할 수도 있다.
도 4a 및 도 4b에서, 3개의 상이한 데이터 플롯들이 도시된다 (각각의 플롯에 대해 4-5 플롯 포인트들이 제공되고, 4차원 다항 (polynomial) 이 각각의 데이터 플롯에 피트한다 (fit)). 케이스 A는 도 3a에 나타나진 샤워헤드에 대한 총합된 매스-전달을 나타내고, 케이스 B는 도 3b에 나타내진 샤워헤드, 즉, 비-캐스케이드 샤워헤드들에 대한 집적된 매스-전달을 나타낸다. 케이스 C는 도 3c에 나타내진 샤워헤드, 즉, 캐스케이드 샤워헤드에 대한 총합된 매스-전달을 나타낸다. 보이는 바와 같이, 캐스케이드 샤워헤드에 대한 총합된 매스-전달의 크로스-웨이퍼 균일도는 도 3a의 비-캐스케이드 샤워헤드에 의해 보여지는 것보다 거의 3배 좋고, 도 3b의 비-캐스케이드 샤워헤드에 의해 보여지는 것보다 거의 5 내지 6배 좋다.
도 1a 내지 도 1e에 도시된 예시들이 배플들, 예를 들어, 평평한 디스크들 또는 링들을 특징으로 하는 반면, 본 개시에 따른 캐스케이드 샤워헤드에 사용된 원형의 배플 및 환상의 배플들은 비-평면 단면들을 가질 수도 있다. 예를 들어, 원형의 배플 (만약 존재한다면) 및/또는 환상의 배플들 중 일부 또는 전부는 경사진 또는 휘어진 부분들을 갖는 단면들을 가질 수도 있다. 추가적으로, 원형의 배플 (만약 존재한다면) 및/또는 환상의 배플들은 가스 흐름에 대해 완벽히 불침투성이지 않을 수도 있고, 즉, 배플에 걸친 가스 흐름의 일부가 반드시 원형의 배플의 외측 엣지 또는 환상의 배플의 내측 또는 외측 엣지를 지나서 흐름이 없이 배플을 통과하도록 하기 위해, 쓰루홀들의 패턴이 원형의 배플 및/또는 환상의 배플에 포함될 수도 있다. 그러한 쓰루-배플 가스 흐름의 양은 그러한 쓰루홀들의 사이즈, 개수, 및 위치를 조정함에 의해 변조될 수도 있다.
도 5는 캐스케이드 샤워헤드의 다른 구현예의 예시의 컨셉적인 측면도를 도시한다. 본 구현예에서, 약간의 원뿔 형상을 갖는 원형의 배플 (512) 이 제공된다. 제1 환상의 배플 (518), 제2 환상의 배플 (520), 및 제3 환상의 배플 (522) 이 제공되고, 각각의 환상의 배플은 그 환상의 배플의 중앙-직경으로부터 환상의 배플의 내측 직경 및 외측 직경을 향해 하방으로 경사진다. 그러한 원형의 배플들 및 환상의 배플들이 비-평면 단면들을 특징으로 하므로, 그러한 원형의 배플들 및 환상의 배플들은 "평면"이 아니다. 그러나, 편의를 위해, 그러한 원형의 배플들 및 환상의 배플들은 마치 그들이 사실상 평면인 것으로 여전히 지칭될 수도 있다. 예를 들어, 제1 환상의 배플 (518) 의 수직 두께가 제1 환상의 배플 (518) 의 외측 직경보다 훨씬 작으므로, 제1 환상의 배플 (518) 은 여전히 평면일 수도 있다. 추가적으로, 환상의 배플들이 실질적으로 축방향으로 대칭일 수도 있으므로, 환상의 배플들은 환상의 배플들의 대칭 축들에 수직인 기준 평면에 관련될 수도 있다. 따라서, 예를 들어, 비-평면 배플이 표면에 "평행"이라고 지칭되는 경우, 그러한 기준은 비-평면 배플의 대칭 축에 수직한 기준 평면이 표면에 평행하다는 것을 지시할 수도 있다는 것이 이해될 것이다.
캐스케이드 샤워헤드가 도 1a 내지 도 1e에 도시된 것보다 많거나 적은 환상의 배플들을 포함할 수도 있다는 것이 더 이해될 것이다. 예를 들어, 일부 구현예들에서, 캐스케이드 샤워헤드는 오직 하나의 환상의 배플만을 포함할 수도 있다. 일부 다른 구현예들에서, 캐스케이드 샤워헤드는 6개, 14개 또는 그 이상의 환상의 배플들을 포함할 수도 있다. 대체로 캐스케이드 샤워헤드의 환상의 배플들의 개수 (N) 는 환상의 배플층들의 개수 (n) 에 의해 결정된 등비급수와 상관하고, 등비급수는
N = 2n - 1
에 의해 결정된 합을 갖는다.
도 6은 캐스케이드 샤워헤드의 다른 구현예의 예시의 컨셉적인 측면도를 도시한다. 도 6에서 캐스케이드 샤워헤드 (600) 가 4개의 환상의 배플층들 (662) 을 갖는 것으로 도시되었고 (원형의 배플 (612) 은 본 관례에서 환상의 배플층에 포함되지 않지만, 대안적인 관례들에서는 위의 등비급수의 적절한 변형으로 실행될 수도 있다.), 환상의 배플층들 (662) 의 각각은 하나 이상의 환상의 배플들 (670) 을 가질 수도 있다. 따라서, 플레넘 체적 (602) 은 환상의 배플 (670A) 을 갖는 환상의 배플층 (662A); 환상의 배플들 (670B 및 670C) 을 갖는 환상의 배플층 (662B); 환상의 배플들 (670D, 670E, 670F 및 670G) 을 갖는 환상의 배플층 (662C); 및 환상의 배플들 (670H, 670I, 670J, 670K, 670L, 670M, 670N 및 670O) 을 갖는 환상의 배플층 (662D) 을 포함할 수도 있다. 플레넘 체적은 제1 표면 (604) 및 제2 표면 (606) 에 의해 구속될 수도 있고; 제2 표면 (606) 은 캐스케이드 샤워헤드 (600) 아래의 웨이퍼 반응 공간을 갖는 플레넘 체적 (602) 과 유체 연결하는 쓰루홀들 (630) 의 패턴을 갖는 페이스 플레이트에 의해 제공될 수도 있다. 가스 주입구 (610) 는 가스를 플레넘 체적 (602) 으로 공급할 수도 있다.
이론적으로, 이상적인 캐스케이드 샤워헤드가 환상의 배플층들의 무한의 개수를 포함할 수도 있지만, 실제적으로, 패키징 공간, 재료 두께, 및 다른 요소들과 같은 제약들은 사용되는 환상의 배플층들의 개수에 실제적인 한계를 부과할 수도 있다. 예를 들어, 환상의 배플층들의 개수, 원형의 배플들 및 환상의 배플들의 두께, 플레넘 체적의 두께는, 중첩이 없는 경우의 배플들의 영역들에 걸친 흐름 저항들과 비교하여, 중첩하는 배플들의 영역들의 배플들에 걸친 흐름 저항들이 수용불가능한 프로세스 비-균일도 다운스트림을 야기하기에 충분한 양에 의해 변화할 수도 있도록 충분히 작은 중간-배플 갭들을 야기할 수도 있다.
예를 들어, 환상의 배플 (층) 및 원형의 배플 모두가 동일한 두께 (tb) 를 갖고, 환상의 또는 원형의 배플 각각이 동일한 거리 (toffset) 만큼 환상의 또는 원형의 배플 각각 위의 표면으로부터 이격되고, 최하부 환상의 배플층이 tbf_offset만큼 페이스 플레이트로부터 이격되고, 플레넘이 두께 (tp) 를 갖는다고 가정하면, 이러한 제약들에 여전히 적합할 수도 있는 환상의 배플층들의 최대 개수 (L) (원형의 배플을 포함하지 않음) 는
Figure 112014033248920-pat00001
(이중 괄호들은 바닥 함수 (floor function) 를 지시함)
에 의해 쉽게 결정될 수도 있다.
본 관계는 물론 사용되는 특정 설계 제약들에 의존하여 변형될 수도 있다. 예를 들어, 배플의 상이한 두께들, 상이한 중간-배플 간격, 및 변형의 다른 소스들은 위의 관계가 변형되는 것을 요구할 수도 있다.
이전에 언급된 바와 같이, 캐스케이드 샤워헤드는 반도체 프로세스 챔버에 설치될 수도 있고; 도 7은 반도체 프로세스 챔버에 설치된 캐스케이드 샤워헤드의 컨셉적인 측면도를 도시한다.
프로세스 챔버 (701) 가 챔버 하우징 (705) 의 상부에 장착된 캐스케이드 샤워헤드 (700) 을 포함할 수도 있다. 일부 구현예들에서, 어댑터 플레이트 (707) 가 캐스케이드 샤워헤드 (700) 와 챔버 하우징 (705) 사이에 개재될 수도 있다. 웨이퍼 지지부 (709) 가 프로세스 챔버 (701) 내의 그리고 캐스케이드 샤워헤드 (700) 아래의 반도체 웨이퍼 (713) 를 지지할 수도 있다. 마이크로체적 (microvolume) 이 웨이퍼 지지부 (709) 와 캐스케이드 샤워헤드 (700) 사이에 형성될 수도 있다. 마이크로체적은 웨이퍼 반응 영역으로 기능할 수도 있고, 프로세싱 동안 반도체 웨이퍼 (713) 의 부근에 프로세스 가스들을 집중시키고 유지하는 것을 도울 수도 있다. 웨이퍼 지지부 (709) 는 웨이퍼 로드 (load) 및 언로드 (unload) 동작들을 용이하게 하기 위해 위아래로 이동하도록 구성될 수도 있다. 다른 구현예들에서, 캐스케이드 샤워헤드는 줄기에 의해 프로세스 챔버 (701) 의 뚜껑 (미도시) 에 매달려질 수도 있고, 프로세스 챔버 (701) 의 "뚜껑"의 부분으로부터 저절로 형성하지 않을 수도 있다. 그러한 구현예들에서, 캐스케이드 샤워헤드 (700) 는 웨이퍼 로드/언로드를 용이하게 하기 위해 위아래로 이동하도록 구성될 수도 있다.
일부 구현예들에서, 하나 이상의 그러한 프로세스 챔버들은 다중-스테이션 반도체 프로세싱 툴의 프로세스 스테이션들로서 제공될 수도 있다. 일부 구현예들에서, 단일 프로세스 챔버가 다중 프로세싱 스테이션들을 포함할 수도 있고, 다중 프로세싱 스테이션들의 일부 또는 전부는 그들의 고유의 캐스케이드 샤워헤드 어셈블리들을 가질 수도 있다.
도 8은 인바운드 (inbound) 로드락 (802) 및 아웃바운드 (outbound) 로드락 (804) 을 갖는 다중-스테이션 프로세싱 툴 (800) 의 개념도를 도시한다. 대기압에서, 로봇 (806) 이 웨이퍼들을 로딩된 카세트로부터 포드 (808) 를 통해 인바운드 로드락 (802) 내로 대기 포트 (810) 를 통해 이동하도록 구성된다. 웨이퍼가 인바운드 로드락 (802) 의 페데스탈 (812) 상의 로봇 (806) 에 의해 배치될 수도 있고, 대기 포트 (810) 가 폐쇄될 수도 있고, 로드락은 그 후 펌프 다운될 (pumped down) 수도 있다. 인바운드 로드락 (802) 은 원격 플라즈마 소스를 포함한다면, 웨이퍼는 프로세싱 챔버 (814) 에 주입되기 전에 로드락 내의 원격 플라즈마 처리에 노출될 수도 있다. 나아가, 웨이퍼는 또한, 예를 들어, 습기 및 흡수된 가스들을 제거하기 위해 인바운드 로드락 (802) 내에서 가열될 수도 있다. 다음으로, 프로세싱 챔버 (814) 로의 챔버 전송 포트 (816) 가 개방될 수도 있고, 다른 로봇 (미도시) 이 웨이퍼를 프로세싱을 위한 반응기 내에 도시된 제1 스테이션의 페데스탈 상의 프로세싱 챔버 (814) 내로 배치할 수도 있다. 도 8에 도시된 구현예가 로드락들을 포함하는 반면, 일부 구현예들에서, 프로세스 스테이션 내로의 웨이퍼의 직접적인 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (814) 도 8에 도시된 구현예에서 1 내지 4로 넘버링된 4개의 프로세스 스테이션들을 포함한다. 각각의 스테이션은 (스테이션 1에 대해 818로 도시된) 가열된 또는 비가열된 페데스탈 및 가스 라인 주입구들을 가질 수도 있다. 일부 구현예들에서, 각각의 프로세스 스테이션은 상이한 도는 다중 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현예들에서, 프로세스 스테이션이 ALD와 플라즈마-향상된 화학적 기상 증착 (PECBD) 프로세스 모드 사이에서 전환할 수 있을 수도 있다. 추가적으로 또는 대안적으로, 일부 구현예들에서, 프로세싱 챔버 (814) 가 ALD 및 PECVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (814) 는 4개의 스테이션들을 포함하는 반면, 본 개시에 따른 프로세싱 챔버가 임의의 적합한 개수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현예들에서, 프로세싱 챔버가 5개 이상의 스테이션들을 가질 수도 있는 반면, 다른 구현예들에서 프로세싱 챔버가 3개 이하의 스테이션들을 가질 수도 있다.
각각의 스테이션은 프로세스 가스들을 관련된 스테이션에서 웨이퍼로 전달하는 별개의 샤워헤드 어셈블리를 포함할 수도 있다. 일부 구현예들에서, 이러한 샤워헤드들의 일부 또는 전부는 본 명세서에서 설명된 바와 같은 캐스케이드 샤워헤드를 이용할 수도 있다. 예를 들어, 스테이션이 ALD 프로세싱 또는 본 명세서에서 설명된 장비의 사용을 유익하게 할 수도 있는 웨이퍼로의 다른 프로세싱을 제공한다면, 그 스테이션을 위한 샤워헤드는 본 명세서에서 논의된 바와 같은 캐스케이드 샤워헤드일 수도 있다.
도 8은 또한 프로세싱 챔버 (814) 내에서 웨이퍼들을 전송하기 위한 웨이퍼 핸들링 시스템 (890) 을 도시한다. 일부 구현예들에서, 웨이퍼 핸들링 시스템 (890) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드락 사이에서 웨이퍼들을 전송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한 예시들은 캐로셀들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 또한 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 컨트롤러 (850) 를 도시한다. 시스템 컨트롤러 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 매스 저장 디바이스들 (854), 및 하나 이상의 프로세스들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결부들, 스텝 모터 제어기 보드들 등을 포함할 수도 있다.
일부 구현예들에서, 시스템 컨트롤러 (850) 는 프로세스 툴 (800) 의 동작들 모두를 제어한다. 시스템 컨트롤러 (850) 는 매스 저장 디바이스 (854) 에 저장되고, 메모리 디바이스 (856) 로 로딩되고, 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 시스템 제어 소프트웨어 (858) 는 가스들의 혼합, 타이밍, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (800) 에 의해 수행되는 특정 프로세스의 다른 파라미터들을 제어하기 위한 명령어들을 포함할 수도 있다. 시스템 제어 소프트웨어 (858) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 기입될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 구현예들에서, 시스템 제어 소프트웨어 (858) 는 위에서 설명된 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 명령어들을 포함할 수도 있다. 예를 들어, ALD 프로세스의 각각의 페이즈 (phase) 는 시스템 컨트롤러 (850) 에 의한 실행을 위한 하나 이상의 명령어들을 포함할 수도 있다. ALD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 명령어들은 대응하는 ALD 레시피 페이즈에 포함될 수도 있다. 일부 구현예들에서, 만약 존재한다면, 다중 샤워헤드들이 수행될 별개의 병렬적인 프로세스 동작들을 허용하기 위해 독립적으로 제어될 수도 있다.
시스템 컨트롤러 (850) 와 관련된 매스 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들은 일부 구현예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예시들은 기판 위치 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어기 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 위치 프로그램이, 기판을 페데스탈 (818) 로 로딩하고 기판과 프로세싱 툴 (800) 의 다른 부분들 사이의 간격을 제어하는데 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 가스 조성 및 흐름 레이트들을 제어하기 위한 코드를 포함할 수도 있고, 프로세스 스테이션 내의 압력을 안정화하기 위해 증착 이전에 가스를 하나 이상의 프로세스 스테이션들로 흐르게 하기 위한 코드를 선택적으로 포함할 수도 있다. 압력 제어 프로그램이, 예를 들어, 프로세스 스테이션의 배기 시스템 내의 쓰로틀 밸브 또는 프로세스 스테이션 내로의 가스 흐름을 조정함에 의해 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램이 기판을 가열하는데 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 가열 전송 가스의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램이 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가되는 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다. 적절한 상황들에서, 플라즈마 제어 프로그램은 외부 플라즈마 생성기 및/또는 프로세스 가스를 플라즈마 생성기 또는 라디컬 소스 체적으로 공급하는데 요구되는 밸브를 제어하기 위한 코드를 포함할 수도 있다.
일부 구현예들에서, 시스템 컨트롤러 (850) 와 관련된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치들 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 구현예들에서, 시스템 컨트롤러 (850) 에 의해 조절된 파라미터들은 프로세스 조건들에 관한 것일 수도 있다. 비-제한 예시들은 프로세스 가스 조성 및 흐름 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 압력, 온도 등을 포함한다. 이러한 파라미터들은 사용자 인터페이스를 이용하여 진입될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들이 다양한 프로세스 툴 센서들로부터 시스템 컨트롤러 (850) 의 아날로그 및/또는 디지털 입력 연결부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 및 디지털 출력 연결부들 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비-제한 예시들은 매스 흐름 컨트롤러들, (마노미터들과 같은) 압력 센서들, 써모커플들 (thermocouples) 등을 포함한다. 적절히-프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이러한 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 컨트롤러 (850) 는 다양한 반도체 제조 프로세스들을 구현하기 위한 프로그램 명령어들을 제공할 수도 있다. 프로그램 명령어들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 명령어들은 필름 스택들의 인-시츄 (in-situ) 증착을 동작하기 위한 파라미터들을 제어할 수도 있다.
시스템 컨트롤러는, 장치가 본 발명에 따른 방법을 수행하도록 명령어들을 실행하도록 구성된 하나 이상의 프로세스들 및 하나 이상의 메모리 디바이스들을 통상적으로 포함할 수도 있다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령어들을 포함하는 머신-판독가능 매체가 시스템 컨트롤러에 커플링될 수도 있다.
도 8에 도시된 반도체 프로세싱 툴이 단일의 4개의 스테이션 프로세싱 챔버 또는 모듈을 묘사하는 반면, 반도체 프로세싱 툴들의 다른 구현예들은 각각이 단일 스테이션 또는 다중 스테이션들을 갖는 다중 모듈들을 포함할 수도 있다. 그러한 모듈들은 서로 상호 연결되고/연결되거나 모듈들 사이에서의 웨이퍼들의 이동을 용이하게 할 수도 있는 배열된 약 하나 이상의 전송 챔버들과 상호 연결될 수도 있다. 그러한 다중-모듈 반도체 프로세싱 툴들에 의해 제공되는 하나 이상의 스테이션들은 필요하다면 본 명세서에서 설명된 바와 같은 캐스케이드 샤워헤드들을 갖출 수도 있다.
대체로, 본 명세서에서 설명된 바와 같은 캐스케이드 샤워헤드가 하나 이상의 반도체 웨이퍼들을 지지하도록 구성된 웨이퍼 지지부 위의 반응 챔버 내에 장착될 수도 있다. 예를 들어, 캐스케이드 샤워헤드는 또한 반응 챔버를 위한 뚜껑, 또는 뚜껑의 부분으로 기능할 수도 있다. 위에 논의된 바와 같이, 다른 구현예들에서, 캐스케이드 샤워헤드는 "샹들리에 (chandelier) " 타입 샤워헤드일 수도 있고, 줄기 또는 다른 지지 구조에 의해 반응 챔버의 뚜껑에 매달려질 수도 있다.
본 명세서에서 앞에서 설명된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 조립 또는 제조를 위한 리소그래픽 패터닝 툴들 또는 프로세스들, 예를 들어, 노광기들과 함께 사용될 수도 있다. 통상적으로, 필수적이지는 않을지라도, 그러한 툴들/프로세스들이 공통의 조립 설비에서 함께 사용되거나 수행될 것이다. 필름의 리소그래픽 패터닝은 통상적으로 후속하는 단계들의 일부 또는 전부를 포함하고, 각각의 스텝은 다수의 가능한 툴들로 인에이블된다 (enabled) : (1) 스핀-온 또는 스프레이-온 툴을 사용하여 작업물, 즉, 웨이퍼 상에 포토레지스를 도포하는 단계; (2) 핫 플레이트 또는 용광로 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 노광기와 같은 툴을 사용하여 가시선 또는 UV 또는 엑스-레이 광에 포토레지스트를 노출시키는 단계; (4) 선택적으로 레지스트를 제거하기 위해 레지스트를 현상하고, 그에 의해 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 패터닝하는 단계; (5) 건식 또는 플라즈마-보조된 에칭 툴을 사용함에 의해 기저 필름 또는 작업물로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계.
본 발명의 다른 양태는 본 명세서에서 설명된 방법들을 달성하도록 구성된 장치이다. 적합한 장치가 프로세스 동작들을 달성하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령어들을 갖는 시스템 컨트롤러를 포함한다. 예를 들어, 시스템 컨트롤러는 제1 프로세스 가스, 제2 프로세스 가스, 및 원격 플라즈마 소스로의 전구체 가스의 가스 흐름들을 제어하도록 구성될 수도 있다. 시스템 컨트롤러는 또한 RF 코일들의 RF 출력을 제어할 수도 있고, 온도 프로브들을 사용하여 페이스 플레이트 어셈블리에서 측정된 온도들에 기초하여 시스템의 임의의 냉각 채널들을 통해 순환되는 냉각수의 온도 및 흐름 레이트를 제어할 수도 있다. 시스템 컨트롤러는, 장치가 본 발명에 따른 방법을 수행하도록 명령어들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 통상적으로 포함할 것이다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령어들을 포함하는 머신-판독가능 매체가 시스템 컨트롤러와 통신하도록 커플링될 수도 있다.
다양한 구현예들이 본 명세서에서 설명되었지만, 그들은 제한이 아닌 오직 예시의 방식으로 나타내졌다는 것이 이해되어야 한다. 따라서, 본 개시의 폭 및 범위는 본 명세서에서 설명된 임의의 구현예들에 의해 제한되지 않아야 하고, 오직 후속하고 뒤에-제출된 청구항들 및 그들의 균등물들에 따라 정의되어야 한다.
임의의 위에-설명된 구현예들에서의 특징들이 서로 양립불가능한 것으로 분명히 식별되지 않거나, 주변 문맥이 그들이 상호 배타적이고 상호 보완적인 및/또는 지원하는 의미로 쉽게 결합가능하지 않은 것을 의미하지 않는다면, 본 개시의 전체는 그러한 구현예들의 특정 특징들이 하나 이상의 포괄적이나 살짝 상이한 기술적인 해결책들을 제공하도록 선택적으로 결합될 수 있다는 것을 고려하고 상상할 것이다. 따라서, 위의 설명은 오직 예시의 방식으로 주어진 것이고 상세한 변형예들이 본 개시의 범위 내에서 제조될 수도 있다는 것이 더 이해될 것이다.

Claims (27)

  1. 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치에 있어서,
    장치의 제 1 표면 및 상기 제 1 표면에 대향하는 상기 장치의 제 2 표면, 및 상기 제 1 표면과 상기 제 2 표면 사이에 개재된 (interposed) 상기 장치의 하나 이상의 원주형 표면들에 의해 적어도 부분적으로 규정되는 플레넘 (plenum) 체적;
    상기 제 1 표면을 통한 상기 플레넘 체적으로의 하나 이상의 가스 주입구; 및
    제 1 환상의 배플 (baffle) 을 포함하고,
    상기 제 1 환상의 배플은 상기 하나 이상의 가스 주입구 상에서 실질적으로 중심에 있고,
    상기 제 1 환상의 배플은 상기 제 1 표면에 실질적으로 평행하고,
    상기 제 1 환상의 배플은 상기 제 1 표면과 상기 제 2 표면 사이에 위치되고,
    상기 제 1 환상의 배플은 상기 제 1 환상의 배플과 상기 하나 이상의 원주형 표면들 사이에 방사상 갭이 존재하도록 상기 하나 이상의 원주형 표면들로부터 오프셋되는 외측 엣지를 갖는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 하나 이상의 가스 주입구 상에서 실질적으로 중심에 있으며, 상기 제 1 표면에 실질적으로 평행하고, 제 1 거리로 상기 제 1 표면으로부터 오프셋된 원형의 배플을 더 포함하고,
    상기 제 1 환상의 배플은 제 2 거리로 상기 원형의 배플로부터 오프셋되고,
    상기 원형의 배플은 상기 제 1 환상의 배플과 상기 제 1 표면 사이에 있는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  3. 제 2 항에 있어서,
    상기 원형의 배플은 직경을 갖고,
    상기 제 1 환상의 배플은 중앙 직경을 갖고,
    상기 제 1 환상의 배플의 중앙 직경은 상기 원형의 배플의 직경과 실질적으로 동일한, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  4. 제 3 항에 있어서,
    상기 제 1 환상의 배플의 중앙 직경은 상기 원형의 배플의 직경의 10% 이내의 편차를 가지는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  5. 제 3 항 또는 제 4 항에 있어서,
    상기 하나 이상의 원주형 표면은 상기 제 1 표면과 상기 제 2 표면 사이에 걸치고 (span),
    상기 하나 이상의 원주형 표면 중 하나는 직경을 갖고,
    상기 제 1 환상의 배플은 외경을 갖고,
    상기 원형의 배플의 직경은 상기 원주형 표면의 직경의 실질적으로 절반이고,
    상기 제 1 환상의 배플의 외경은 상기 원주형 표면의 직경과 상기 원형의 배플의 직경의 합의 절반과 실질적으로 동일한, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  6. 제 3 항 또는 제 4 항에 있어서,
    제 2 환상의 배플; 및
    제 3 환상의 배플을 더 포함하고,
    상기 제 2 환상의 배플 및 상기 제 3 환상의 배플 모두는 상기 하나 이상의 가스 주입구 상에서 실질적으로 중앙에 있으며, 상기 제 1 표면에 실질적으로 평행하고, 제 3 거리로 상기 제 1 환상의 배플로부터 오프셋되고,
    상기 제 2 환상의 배플과 상기 제 3 환상의 배플은 상기 제 1 환상의 배플과 상기 제 2 표면 사이에 위치되고,
    상기 제 1 환상의 배플은 상기 원형의 배플과 상기 제 2 환상의 배플 사이와 상기 원형의 배플과 상기 제 3 환상의 배플 사이에 있는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  7. 제 6 항에 있어서,
    상기 원형의 배플과 상기 제 1 표면은 0.3"의 갭에 의해 분리되고,
    상기 원형의 배플과 상기 제 1 환상의 배플은 0.3"의 갭에 의해 분리되고,
    상기 제 1 환상의 배플과 상기 제 2 환상의 배플은 0.3"의 갭에 의해 분리되고,
    상기 제 1 환상의 배플과 상기 제 3 환상의 배플은 0.3"의 갭에 의해 분리되는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  8. 제 6 항에 있어서,
    상기 제 2 환상의 배플과 상기 제 3 환상의 배플은 서로 실질적으로 동일 평면상에 있는 (co-planar), 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  9. 제 6 항에 있어서,
    상기 제 1 환상의 배플은 내경과 외경을 갖고,
    상기 제 2 환상의 배플은 중앙 직경을 갖고,
    상기 제 3 환상의 배플은 중앙 직경을 갖고,
    상기 제 2 환상의 배플의 중앙 직경은 상기 제 1 환상의 배플의 내경과 실질적으로 동일하고,
    상기 제 3 환상의 배플의 중앙 직경은 상기 제 1 환상의 배플의 외경과 실질적으로 동일한, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  10. 제 9 항에 있어서,
    상기 제 2 환상의 배플의 중앙 직경은 상기 제 1 환상의 배플의 내경의 10% 이내의 편차를 가지고,
    상기 제 3 환상의 배플의 중앙 직경은 상기 제 1 환상의 배플의 외경의 10% 이내의 편차를 갖는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  11. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 2 표면은, 상기 제 1 면 반대편의 페이스 플레이트의 제 2 면과 상기 플레넘 체적을 유체 연결하는 쓰루홀들의 패턴을 갖는 상기 페이스 플레이트의 제 1 면에 의해 정의되는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  12. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 환상의 배플은 원으로 배열되는 경우 환상의 형상을 형성하는 복수의 아치-형상의 배플 세그먼트에 의해 형성되는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  13. 제 12 항에 있어서,
    복수의 벽을 포함하고, 벽 각각은 상기 제 1 표면에 실질적으로 직각이며, 상기 제 1 환상의 배플의 인접한 아치-형상의 배플 세그먼트들 사이에 개재되는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  14. 제 13 항에 있어서,
    벽 각각은 상기 아치-형상의 배플 세그먼트들의 인접한 엣지들을 지지하도록 구성된 적어도 하나의 선반 (ledge) 을 갖는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  15. 제 13 항에 있어서,
    상기 제 1 표면과 상기 제 2 표면 사이에 걸친 하나 이상의 원주형 표면을 더 포함하고, 벽 각각은 상기 하나 이상의 원주형 표면으로부터 상기 하나 이상의 가스 주입구로 실질적으로 연장하고, 적어도 상기 제 2 표면과 상기 제 1 환상의 배플 사이에 걸치는 실질적으로 방사상의 벽인, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  16. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    백플레이트를 더 포함하고,
    상기 백플레이트는 상기 제 1 표면을 제공하고, 상기 하나 이상의 가스 주입구는 상기 제 1 환상의 배플 상에서 가스를 분배하도록 배열된, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  17. 제 16 항에 있어서,
    제 2 환상의 배플; 및
    제 3 환상의 배플을 더 포함하고,
    상기 제 2 환상의 배플 및 상기 제 3 환상의 배플 모두는 상기 하나 이상의 가스 주입구 상에서 실질적으로 중심에 있으며, 상기 제 1 표면에 실질적으로 평행하고, 제 3 거리로 상기 제 1 환상의 배플로부터 오프셋되고,
    상기 제 2 환상의 배플과 상기 제 3 환상의 배플은 상기 제 1 환상의 배플과 상기 제 2 표면 사이에 위치되고,
    상기 제 1 환상의 배플은 상기 제 1 표면과 상기 제 2 환상의 배플 사이 및 상기 제 1 표면과 상기 제 3 환상의 배플 사이에 있는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  18. 제 17 항에 있어서,
    상기 제 2 환상의 배플과 상기 제 3 환상의 배플은 서로 실질적으로 동일 평면상에 있는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  19. 제 17 항에 있어서,
    상기 제 1 환상의 배플은 내경과 외경을 갖고,
    상기 제 2 환상의 배플은 중앙 직경을 갖고,
    상기 제 3 환상의 배플은 중앙 직경을 갖고,
    상기 제 2 환상의 배플의 중앙 직경은 상기 제 1 환상의 배플의 내경과 실질적으로 동일하고,
    상기 제 3 환상의 배플의 중앙 직경은 상기 제 1 환상의 배플의 외경과 실질적으로 동일한, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  20. 제 19 항에 있어서,
    상기 제 2 환상의 배플의 중앙 직경은 상기 제 1 환상의 배플의 내경의 10% 이내의 편차를 가지고,
    상기 제 3 환상의 배플의 중앙 직경은 상기 제 1 환상의 배플의 외경의 10% 이내의 편차를 갖는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  21. 제 1 항에 있어서,
    하나 이상의 환상의 배플층들을 더 포함하고,
    상기 제 1 환상의 배플은 상기 하나 이상의 환상의 배플층들의 제 1 환상의 배플층을 형성하고,
    상기 환상의 배플층들은 상기 환상의 배플층들과 실질적으로 직각인 방향으로 서로로부터 떨어지고,
    환상의 배플층 각각은 하나 이상의 환상의 배플을 포함하고,
    환상의 배플 각각은 상기 하나 이상의 가스 주입구 상에서 실질적으로 중심에 있고,
    환상의 배플층 각각은 해당 환상의 배플층과 상기 제 1 표면 사이의 근접 환상 배플층보다 상기 환상의 배플층에 2배의 환상의 배플을 갖고,
    환상의 배플 각각은 중앙 직경, 외경 및 내경을 가지며,
    상기 제 1 환상의 배플층이 아닌 환상의 배플층 각각에 대해, 해당 환상의 배플층 내의 환상의 배플 각각의 중앙 직경은, 해당 환상의 배플층과 상기 제 1 표면 사이의 상기 근접 환상의 배플층 내의 상기 환상의 배플 또는 환상의 배플들의 상기 내경 또는 내경들 및 외경 또는 외경들 중 상이한 하나와 실질적으로 동일한, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  22. 제 21 항에 있어서,
    상기 제 1 환상의 배플층이 아닌 환상의 배플층 각각에 대해, 해당 환상의 배플층에서의 환상의 배플 각각의 상기 중앙 직경은, 해당 환상의 배플층과 상기 제 1 표면 사이의 상기 근접 환상의 배플층에서, 상기 환상의 배플 또는 환상의 배플들의 상기 내경 또는 내경들 및 외경 또는 내경들 중 상이한 하나의 10% 이내의 편차를 갖는, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  23. 제 21 항에 있어서,
    상기 환상의 배플층 각각은 적어도 0.05"만큼 모든 근접 환상의 배플층들로부터 떨어진, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  24. 제 21 항에 있어서,
    하나 이상의 상기 환상의 배플은 실질적으로 환상의 형상으로 배열된 복수의 아치-형상의 배플 세그먼트로부터 형성되고, 상기 장치는 복수의 벽을 더 포함하고,
    상기 복수의 벽은,
    상기 환상의 배플의 인접한 아치-형상의 배플 세그먼트들 사이에 위치되고,
    상기 플레넘 체적 내에서 상기 아치-형상의 배플 세그먼트를 지지하도록 구성된, 반도체 웨이퍼를 가로질러 가스를 분배하기 위한 장치.
  25. 반도체 프로세싱 스테이션으로서,
    상기 반도체 프로세싱 스테이션은 제 1 항 내지 제 4 항 중 어느 한 항에 기재된 장치를 포함하는, 반도체 프로세싱 스테이션.
  26. 반도체 프로세싱 툴로서,
    상기 반도체 프로세싱 툴은 제 25 항에 기재된 반도체 프로세싱 스테이션을 포함하는, 반도체 프로세싱 툴.
  27. 제 26 항에 있어서,
    상기 반도체 프로세싱 툴은 스테퍼 (stepper) 를 포함하는, 반도체 프로세싱 툴.
KR1020140041461A 2013-04-05 2014-04-07 과도 균일성을 위한 캐스케이드 설계 샤워헤드 KR102244230B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/857,799 US9353439B2 (en) 2013-04-05 2013-04-05 Cascade design showerhead for transient uniformity
US13/857,799 2013-04-05

Publications (2)

Publication Number Publication Date
KR20140121372A KR20140121372A (ko) 2014-10-15
KR102244230B1 true KR102244230B1 (ko) 2021-04-26

Family

ID=51653768

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140041461A KR102244230B1 (ko) 2013-04-05 2014-04-07 과도 균일성을 위한 캐스케이드 설계 샤워헤드

Country Status (3)

Country Link
US (1) US9353439B2 (ko)
KR (1) KR102244230B1 (ko)
TW (1) TWI640365B (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR20150012580A (ko) * 2013-07-25 2015-02-04 삼성디스플레이 주식회사 기상 증착 장치
KR102203098B1 (ko) * 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR102417934B1 (ko) 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
USD793526S1 (en) * 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) * 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) * 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
KR101876548B1 (ko) * 2016-12-30 2018-07-09 상구정공(주) 샤워헤드 플레이트 및 이의 제작방법
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US20190376184A1 (en) * 2018-06-12 2019-12-12 Lam Research Corporation Chemical vapor deposition shower head for uniform gas distribution
TWI781346B (zh) * 2018-09-29 2022-10-21 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
WO2020159799A1 (en) * 2019-02-01 2020-08-06 Lam Research Corporation Showerhead for deposition tools having multiple plenums and gas distribution chambers
CN114059044A (zh) * 2021-11-09 2022-02-18 长江存储科技有限责任公司 一种膜层生长设备和膜层生长方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200279498Y1 (ko) * 2002-03-28 2002-06-24 주식회사 아토 반도체소자 제조장치의 샤워헤드
KR100408333B1 (en) * 2003-06-05 2003-12-03 Ans Inc Shower head having self aligned plate for dry etching apparatus and gas distributing method using the same

Family Cites Families (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4315173A (en) * 1980-03-30 1982-02-09 Westinghouse Electric Corp. Dynamoelectric machines segmental air gap baffle assembly
US4647512A (en) 1986-03-20 1987-03-03 The Perkin-Elmer Corporation Diamond-like carbon films and process for production thereof
US4671204A (en) 1986-05-16 1987-06-09 Varian Associates, Inc. Low compliance seal for gas-enhanced wafer cooling in vacuum
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JPH05267186A (ja) 1992-03-18 1993-10-15 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
JP3120302B2 (ja) 1992-04-30 2000-12-25 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造法
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
JP2870719B2 (ja) 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US5855465A (en) 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5728260A (en) 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP4048387B2 (ja) 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
JP3208376B2 (ja) 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
US6150544A (en) 1998-06-30 2000-11-21 Japan Polyolefins Co., Ltd. Transition metal compound and catalyst containing the same for polymerization of olefins
KR100343134B1 (ko) 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
JP3320387B2 (ja) 1998-09-07 2002-09-03 キヤノン株式会社 電子源の製造装置及び製造方法
US6191011B1 (en) 1998-09-28 2001-02-20 Ag Associates (Israel) Ltd. Selective hemispherical grain silicon deposition
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6241825B1 (en) 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245149B1 (en) 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6539963B1 (en) 1999-07-14 2003-04-01 Micron Technology, Inc. Pressurized liquid diffuser
US6419751B1 (en) 1999-07-26 2002-07-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP3483537B2 (ja) 2000-03-06 2004-01-06 キヤノン株式会社 画像表示装置の製造方法
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
TW492054B (en) 2000-03-09 2002-06-21 Semix Inc Wafer processing apparatus and method
US6848961B2 (en) 2000-03-16 2005-02-01 Canon Kabushiki Kaisha Method and apparatus for manufacturing image displaying apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP3667256B2 (ja) 2000-06-30 2005-07-06 キヤノン株式会社 電子源の製造装置
EP1303870A2 (en) 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
JP3793014B2 (ja) 2000-10-03 2006-07-05 キヤノン株式会社 電子源の製造装置、電子源の製造方法及び画像形成装置の製造方法
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
WO2002045561A2 (en) 2000-11-20 2002-06-13 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
JP3946641B2 (ja) 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
JP2002260218A (ja) 2001-03-05 2002-09-13 Anelva Corp 磁気記録ディスク、磁気記録ディスク製造方法及び磁気記録ディスク製造装置
US6939206B2 (en) 2001-03-12 2005-09-06 Asm Nutool, Inc. Method and apparatus of sealing wafer backside for full-face electrochemical plating
US6534802B1 (en) 2001-05-07 2003-03-18 Newport Fab, Llc Method for reducing base to collector capacitance and related structure
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6551339B2 (en) 2001-08-23 2003-04-22 Stas Gavronsky Acupuncture device with improved needle guide tube
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
TWI291487B (en) 2002-01-08 2007-12-21 Chi Mei Optoelectronics Corp Red-emitting organic electroluminescent compound
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6586349B1 (en) 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US7208195B2 (en) 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
CN100360710C (zh) 2002-03-28 2008-01-09 哈佛学院院长等 二氧化硅纳米层压材料的气相沉积
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US7311944B2 (en) 2002-12-23 2007-12-25 Applied Thin Films, Inc. Aluminum phosphate coatings
CN100419939C (zh) 2003-01-21 2008-09-17 佳能株式会社 通电处理方法和电子源衬底的制造方法
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US7225820B2 (en) 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
JP4591651B2 (ja) 2003-02-27 2010-12-01 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20050178336A1 (en) 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7700155B1 (en) 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US20060027611A1 (en) * 2004-07-23 2006-02-09 Hobbs Wade T Baffled water bottle
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
JP2006066272A (ja) 2004-08-27 2006-03-09 Canon Inc 画像表示装置
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7670432B2 (en) 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
KR101765754B1 (ko) * 2010-05-12 2017-08-09 주식회사 탑 엔지니어링 샤워헤드 및 이를 포함하는 반도체 기판 가공 장치
CN103403843B (zh) * 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200279498Y1 (ko) * 2002-03-28 2002-06-24 주식회사 아토 반도체소자 제조장치의 샤워헤드
KR100408333B1 (en) * 2003-06-05 2003-12-03 Ans Inc Shower head having self aligned plate for dry etching apparatus and gas distributing method using the same

Also Published As

Publication number Publication date
TW201509537A (zh) 2015-03-16
US20140299681A1 (en) 2014-10-09
TWI640365B (zh) 2018-11-11
US9353439B2 (en) 2016-05-31
KR20140121372A (ko) 2014-10-15

Similar Documents

Publication Publication Date Title
KR102244230B1 (ko) 과도 균일성을 위한 캐스케이드 설계 샤워헤드
JP7181337B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
KR102514303B1 (ko) 웨이퍼 에지에서의 후면 증착을 감소시키는 방법
US10347547B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR20230136584A (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
JP7171165B2 (ja) 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム
KR20160031420A (ko) 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들
US20140069459A1 (en) Methods and apparatus for cleaning deposition chambers
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US20170053781A1 (en) Multi-Station Chamber Having Symmetric Grounding Plate
US11236423B2 (en) Film-forming apparatus
WO2022203982A1 (en) Conductive cooling of a low temperature pedestal operating in a high temperature deposition sequence

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant