KR102474847B1 - 가스 인젝터 및 웨이퍼 처리 장치 - Google Patents

가스 인젝터 및 웨이퍼 처리 장치 Download PDF

Info

Publication number
KR102474847B1
KR102474847B1 KR1020180047714A KR20180047714A KR102474847B1 KR 102474847 B1 KR102474847 B1 KR 102474847B1 KR 1020180047714 A KR1020180047714 A KR 1020180047714A KR 20180047714 A KR20180047714 A KR 20180047714A KR 102474847 B1 KR102474847 B1 KR 102474847B1
Authority
KR
South Korea
Prior art keywords
gas
passage
distribution
bypass passage
extending
Prior art date
Application number
KR1020180047714A
Other languages
English (en)
Other versions
KR20190123866A (ko
Inventor
이종현
김영권
김우재
류승민
어지호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020180047714A priority Critical patent/KR102474847B1/ko
Priority to US16/212,036 priority patent/US11021796B2/en
Priority to CN201910091001.4A priority patent/CN110400764A/zh
Publication of KR20190123866A publication Critical patent/KR20190123866A/ko
Application granted granted Critical
Publication of KR102474847B1 publication Critical patent/KR102474847B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • B08B5/02Cleaning by the force of jets, e.g. blowing-out cavities
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements

Abstract

가스 인젝터는 공정 챔버의 중심축을 향하는 제1 방향으로 각각 연장하며 가스 공급원으로부터의 공정 가스를 상기 공정 챔버 내로 도입하기 위한 제1 및 제2 가스 도입관들, 상기 제1 가스 도입관으로부터 상기 제1 방향에 직교하는 제2 방향으로 연장하는 제1 우회 유로, 상기 제2 가스 도입관으로부터 상기 제2 방향의 반대 방향으로 연장하는 제2 우회 유로, 상기 제1 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 제1 우회 유로의 출구로부터 상기 제2 방향의 반대 방향으로 연장하는 제1 분배 유로, 상기 제2 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 제2 우회 유로의 출구로부터 상기 제2 방향으로 연장하는 제2 분배 유로, 및 상기 제1 및 제2 분배 유로들의 외측면에 상기 공정 가스를 분사하기 위한 복수 개의 분사구들을 포함한다.

Description

가스 인젝터 및 웨이퍼 처리 장치{GAS INJECTOR AND WAFER PROCESSING APPARATUS HAVING THE SAME}
본 발명은 가스 인젝터 및 웨이퍼 처리 장치에 관한 것이다. 보다 자세하게, 본 발명은 공정 챔버 내로 가스를 공급하기 위한 가스 인젝터 및 이를 갖는 웨이퍼 처리 장치에 관한 것이다.
반도체 제조 공정에 있어서, 웨이퍼 표면에 형성된 자연 산화막을 제거하기 위하여 배치 타입의 챔버 내에서 수직 방향으로 배치된 복수 개의 웨이퍼들 상에 클리닝 공정을 수행할 수 있다. 상기 클리닝 공정을 위한 공정 가스를 공급하기 위하여 복수 개의 분사구들이 형성된 샤워 헤드가 가스 인젝터로 사용될 수 있다. 그러나, 수직 방향을 따라 분사구들로부터 분사되는 공정 가스들의 온도 차이로 인하여 웨이퍼들 사이에서 클리닝 성능 차이가 발생하여 반도체 소자의 성능을 저하시키는 문제점이 있다.
본 발명의 일 과제는 공정 가스의 균일한 온도 및 유량을 제공할 수있는 가스 인젝터를 제공하는 데 있다.
본 발명의 다른 과제는 상술한 가스 인젝터를 갖는 웨이퍼 처리 장치를 제공하는 데 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 가스 인젝터는 공정 챔버의 중심축을 향하는 제1 방향으로 각각 연장하며 가스 공급원으로부터의 공정 가스를 상기 공정 챔버 내로 도입하기 위한 제1 및 제2 가스 도입관들, 상기 제1 가스 도입관으로부터 상기 제1 방향에 직교하는 제2 방향으로 연장하는 제1 우회 유로, 상기 제2 가스 도입관으로부터 상기 제2 방향의 반대 방향으로 연장하는 제2 우회 유로, 상기 제1 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 제1 우회 유로의 출구로부터 상기 제2 방향의 반대 방향으로 연장하는 제1 분배 유로, 상기 제2 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 제2 우회 유로의 출구로부터 상기 제2 방향으로 연장하는 제2 분배 유로, 및 상기 제1 및 제2 분배 유로들의 외측면에 상기 공정 가스를 분사하기 위한 복수 개의 분사구들을 포함한다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 가스 인젝터는 공정 챔버의 중심축을 향하는 제1 방향으로 연장하며 가스 공급원으로부터의 공정 가스를 상기 공정 챔버 내로 도입하기 위한 가스 도입관, 상기 가스 도입관으로부터 상기 제1 방향에 직교하는 제2 방향으로 연장하는 우회 유로, 상기 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 우회 유로의 출구로부터 상기 제2 방향의 반대 방향으로 연장하는 분배 유로, 및 상기 분배 유로의 외측면에 상기 분배 유로의 연장 방향을 따라 이격 형성되고 상기 공정 가스를 분사하기 위한 복수 개의 분사구들을 포함한다.
상기 본 발명의 다른 과제를 달성하기 위한 예시적인 실시예들에 따른 웨이퍼 처리 장치는 수직 방향으로 연장하는 공정 챔버, 상기 공정 챔버 내에 로딩되며 복수 개의 웨이퍼들을 지지하기 위한 보트, 및 상기 공정 챔버 내에 공정 가스를 분사하기 위한 가스 인젝터를 포함한다. 상기 가스 인젝터는 상기 공정 챔버의 중심축을 향하는 제1 방향으로 연장하며 상기 공정 가스를 상기 공정 챔버 내로 도입하기 위한 가스 도입관, 상기 가스 도입관으로부터 상기 제1 방향에 직교하는 제2 방향으로 연장하는 우회 유로, 상기 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 우회 유로의 출구로부터 상기 제2 방향의 반대 방향으로 연장하는 분배 유로, 및 상기 분배 유로의 외측면에 상기 분배 유로의 연장 방향을 따라 이격 형성되고 상기 공정 가스를 분사하기 위한 복수 개의 분사구들을 포함한다.
예시적인 실시예들에 따르면, 가스 인젝터는 제1 방향으로 연장하는가스 도입관으로부터 공정 챔버의 상단 또는 하단을 향하여 상기 제1 방향과 직교하는 제2 방향으로 연장하는 우회 유로 및 상기 우회 유로로보다 상기 공정 챔버의 내측에 배치하고 상기 우회 유로의 출구로부터 상기 제2 방향의 반대 방향으로 연장하는 분배 유로를 포함할 수 있다. 상기 분배 유로의 일측벽에는 상기 분배 유로를 따라 흐르는 공정 가스를 분사하기 위한 복수 개의 분사구들이 형성될 수 있다.
따라서, 상기 공정 가스가 상기 우회 유로의 출구로부터 상기 분배 유로를 따라 이동하면서 상대적으로 높은 고온의 상기 우회 유로에 의해 열적 보상되어 수직 방향을 따라 상기 분사구들 사이에 균일한 온도 및 유량을 제공할 수 있다. 이에 따라, 상기 공정 챔버 내의 웨이퍼들 사이에서 클리닝 성능 차이를 감소시켜 반도체 소자의 성능을 향상시킬 수 있다.
다만, 본 발명의 효과는 상기 언급한 효과에 한정되는 것이 아니며, 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위에서 다양하게 확장될 수 있을 것이다.
도 1은 예시적인 실시예들에 따른 웨이퍼 처리 장치를 나타내는 블록도이다.
도 2는 도 1의 공정 챔버를 나타내는 단면도이다.
도 3은 도 2의 A-A 라인을 따라 절단한 단면도이다.
도 4는 도 2의 가스 인젝터를 통해 공급되는 공정 가스의 흐름을 나타내는 단면도이다.
도 5는 도 2의 가스 인젝터를 나타내는 사시도이다.
도 6은 도 5의 가스 인젝터를 나타내는 분해 사시도이다.
도 7은 도 5의 가스 인젝터의 연장 방향을 따라 절단한 상태의 사시도이다.
도 8은 도 7의 가스 인젝터를 나타내는 측면도이다.
도 9는 도 5의 가스 인젝터의 제1 분배 유로를 나타내는 단면도이다.
도 10은 다른 형상을 갖는 제1 분배 유로를 나타내는 단면도이다.
도 11a는 가스 인젝터 내의 제1 공정 가스의 흐름을 나타내는 단면도이고, 도 11b는 도 11a의 상기 제1 공정 가스의 온도 변화를 나타내는 그래프들이다.
도 12는 비교예에 따른 가스 인젝터를 나타내는 단면도이다.
도 13은 도 5의 가스 인젝터 및 도 12의 가스 인젝터로부터 분사되는 연장 방향에 따른 공정 가스의 온도 프로파일을 나타내는 그래프이다.
도 14는 도 5의 가스 인젝터 및 도 12의 가스 인젝터로부터 분사되는 연장 방향에 따른 공정 가스의 가스 유량 프로파일을 나타내는 그래프이다.
도 15는 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다.
도 16은 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다.
도 17은 예시적인 실시예들에 따른 가스 인젝터를 나타내는 사시도이다.
도 18은 도 17의 가스 인젝터를 나타내는 분해 사시도이다.
도 19는 도 17의 가스 인젝터의 연장 방향을 따라 절단한 상태의 사시도이다.
도 20은 도 19의 가스 인젝터를 나타내는 측면도이다.
도 21은 도 17의 가스 인젝터의 제1 우회 유로 및 제1 분배 유로를 나타내는 확대 단면도이다.
도 22는 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다.
도 23은 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다.
도 24는 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다.
도 25는 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다.
도 26은 예시적인 실시예들에 따른 웨이퍼 처리 방법을 나타내는 순서도이다.
도 27 내지 도 30은 예시적인 실시예들에 따른 수직형 메모리 장치를 제조하는 방법을 나타내는 수직 단면도들이다.
이하, 첨부한 도면들을 참조하여, 본 발명의 바람직한 실시예를 보다 상세하게 설명하고자 한다.
도 1은 예시적인 실시예들에 따른 웨이퍼 처리 장치를 나타내는 블록도이다. 도 2는 도 1의 공정 챔버를 나타내는 단면도이다. 도 3은 도 2의 A-A 라인을 따라 절단한 단면도이다. 도 4는 도 2의 가스 인젝터를 통해 공급되는 공정 가스의 흐름을 나타내는 단면도이다. 도 5는 도 2의 가스 인젝터를 나타내는 사시도이다. 도 6은 도 5의 가스 인젝터를 나타내는 분해 사시도이다. 도 7은 도 5의 가스 인젝터의 연장 방향을 따라 절단한 상태의 사시도이다. 도 8은 도 7의 가스 인젝터를 나타내는 측면도이다. 도 9는 도 5의 가스 인젝터의 제1 분배 유로를 나타내는 단면도이다. 도 10은 다른 형상을 갖는 제1 분배 유로를 나타내는 단면도이다.
도 1 내지 도 10을 참조하면, 웨이퍼 처리 장치(10)는 수직 방향(Z 방향)으로 연장하는 공정 챔버(20), 공정 챔버(20) 내에 로딩되며 복수 개의 웨이퍼들(W)을 지지하기 위한 보트(30), 및 공정 챔버(20) 내에서 제1 공정 가스를 웨이퍼들(W)로 분사하기 위한 가스 인젝터(100)를 포함할 수 있다. 웨이퍼 처리 장치(10)는 공정 챔버(20) 내에서 제2 공정 가스를 웨이퍼들(W)로 분사하기 위한 적어도 하나의 분사 노즐(60)을 더 포함할 수 있다. 또한, 웨이퍼 처리 장치(10)는 가스 인젝터(100)를 통해 상기 제1 및 제2 공정 가스들을 공정 챔버(20) 내로 공급하기 위한 가스 공급부를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 웨이퍼 처리 장치(10)는 수직형 배치 타입의 반응로로서의 공정 챔버(20)를 포함할 수 있다. 공정 챔버(20)는 수직 방향(Z 방향)으로 연장하며 클리닝 공정을 수행하기 위한 공간을 제공할 수 있다. 공정 챔버(20)는 웨이퍼(W) 표면에 형성된 자연 산화막을 제거하기 위한 클리닝 공정을 위한 챔버일 수 있다. 이와 다르게, 공정 챔버(20)는 웨이퍼(W) 표면 상에 박막을 형성하기 위한 증착 공정을 위한 챔버일 수 있다.
웨이퍼(W)은 예를 들면, 실리콘 웨이퍼 또는 게르마늄 웨이퍼와 같은 반도체 웨이퍼일 수 있다. 한편, 웨이퍼(W) 상에는 각종 구조물들(도시되지 않음)이 더 형성될 수 있다.
예를 들어, 웨이퍼(W) 상에 금속, 금속 질화물, 금속 실리사이드, 금속 산화물 등을 포함하는 도전막(도시되지 않음)이나 전극(도시되지 않음), 혹은 실리콘 산화물 또는 실리콘 질화물을 포함하는 절연막(도시되지 않음) 등이 더 형성될 수 있다. 일부 실시예들에 있어서, 내부에 홀 또는 개구부를 포함하는 상기 절연막이 기판(W) 상에 형성될 수 있다.
공정 챔버(20)의 하단부는 폐쇄 단부이고, 공정 챔버(20)의 상단부는 개방 단부일 수 있다. 공정 챔버(20)의 개방 단부에는 게이트(22)가 구비될 수 있다. 후술하는 바와 같이, 게이트(22)는 반송실(도시되지 않음)과 접속될 수 있다. 또한, 공정 챔버(20)는 공정 챔버(20) 둘레에 배치된 히터(도시되지 않음) 또는 공정 챔버(20) 내부의 램프 히터(도시되지 않음)와 같은 온도 조절 시스템에 의해 일정한 온도로 유지될 수 있다.
공정 챔버(20)는 수직 방향으로 배치된 복수 개의 웨이퍼들(W)을 지지하는 보트(30)를 수용할 수 있다. 보트(30)는 게이트(22)를 통해 상기 반송실로부터 공정 챔버(20) 내부로 인입 또는 인출될 수 있다. 예를 들면, 보트(30)는 약 25 내지 60매의 웨이퍼들(W)을 탑재할 수 있다. 또한, 보트(30)는 공정 챔버(20) 내에서 회전 가능하도록 지지될 수 있다. 웨이퍼들(W) 상에 공정 가스가 분사되어 클리닝 공정을 수행할 때, 보트(30)는 소정의 속도로 회전할 수 있다.
공정 챔버(20)의 제1 측벽에는 가스 인젝터(100)를 설치하기 위한 매니폴드(24)가 구비될 수 있다. 매니폴드(24)는 가스 인젝터(100)의 형상에 대응하여 수직 방향(Z 방향)을 따라 연장할 수 있다. 매니폴드(24)의 외측벽에는 제1 및 제2 흡기홀들(25a, 25b)이 형성될 수 있다. 제1 및 제2 흡기홀들(25a, 25b)은 공정 가스가 도입되는 제1 및 제2 도입로들(45a, 45b)에 각각 연통될 수 있다.
예시적인 실시예들에 있어서, 상기 가스 공급부는 상기 제1 공정 가스를 가스 인젝터(100)에 공급하기 위한 제1 가스 공급부(40)를 포함할 수 있다. 또한, 상기 가스 공급부는 상기 제2 공정 가스를 분사 노즐(60)에 공급하기 위한 제2 가스 공급부(50)를 포함할 수 있다.
제1 가스 공급부(40)는 제1 가스 공급원(42), 제1 가스 공급로(43), 마이크로파 여기부(44) 및 제1 가스 도입로(45)를 포함할 수 있다. 예를 들면, 제1 가스 공급원(42)은 암모니아 가스(NH3) 및 캐리어 가스로서의 질소 가스(N2)를 공급할 수 있다. 마이크로파 여기부(44)는 제1 가스 공급로(43)를 통해 도입된 암모니아 가스에 대하여 마이크로파를 조사하여, 수소 가스를 플라즈마 상태로 여기시켜 수소 라디칼(H*)을 발생시킬 수 있다. 발생된 수소 라디칼(H*)은 매니폴드(24)에 설치된 가스 인젝터(100)를 통해 공정 챔버(20) 내부로 공급될 수 있다.
도 2 및 도 4에 도시된 바와 같이, 마이크로파 여기부(44)는 제1 여기부(44a) 및 제2 여기부(44b)를 포함하고, 제1 가스 도입로(45)는 제1 여기부(44a)에 연결된 제1 도입로(45a) 및 제2 여기부(44b)에 연결된 제2 도입로(45b)를 포함할 수 있다. 제1 도입로(45a)는 매니폴드(24)에 형성된 제1 흡기홀(25a)과 연결되고, 제2 도입로(45b)는 매니폴드(24)에 형성된 제2 흡기홀(25b)과 연결될 수 있다.
제1 가스 공급원(42)으로부터의 암모니아 가스는 제1 가스 공급로(43)로부터 분기된 제1 및 제2 공급로들(43a, 43b)을 통해 제1 및 제2 여기부들(44a, 44b)로 각각 도입될 수 있다. 제1 여기부(44a)에 의해 발생된 수소 라디칼(H*)은 제1 도입로(45a)를 통해 가스 인젝터(100)의 제1 가스 도입관(112a)으로 공급될 수 있다. 제2 여기부(44b)에 의해 발생된 수소 라디칼(H*)은 제2 도입로(45b)를 통해 가스 인젝터(100)의 제2 가스 도입관(112b)으로 공급될 수 있다.
도면에 도시되지는 않았지만, 상기 제1 공급로 및 제2 공급로에는 질량 유량 제어기(MFC, mass flow controller)가 각각 설치되어 상기 암모니아 가스의 흐름을 제어할 수 있다. 따라서, 제1 여기부(44a) 및 제2 여기부(44b)에 공급되는 암모니아 가스의 유량을 각각 제어할 수 있다.
예시적인 실시예들에 있어서, 제1 여기부(44a)는 도입된 암모니아 가스에 대하여 제1 파워의 마이크로파를 조사하고, 제2 여기부(44b)는 도입된 암모니아 가스에 대하여 상기 제1 파워와 다른 제2 파워의 마이크로파를 조사할 수 있다. 따라서, 가스 인젝터(100)의 제1 및 제2 가스 도입관들(112a, 112b)로 공급되는 수소 라디칼(H*)의 농도를 각각 제어할 수 있다.
예시적인 실시예들에 있어서, 가스 인젝터(100)는 공정 챔버(20)의 매니폴드(24)의 내측벽에 수직 방향(Z 방향)으로 연장하도록 설치되어 상기 제1 공정 가스로서의 수소 라디칼(H*)을 공정 챔버(20)의 중심축을 향하여 분사할 수 있다. 가스 인젝터(100)는 제1 및 제2 가스 도입관들(112a, 112b), 제1 및 제2 우회 유로들(114a, 114b), 분배 유로(124), 및 복수 개의 분사구들(132)을 포함할 수 있다.
구체적으로, 제1 및 제2 가스 도입관들(112a, 112b)는 공정 챔버(20)의 중심축을 향하는 제1 방향(Y 방향)으로 각각 연장할 수 있다. 제1 및 제2 가스 도입관들(112a, 112b)은 제1 방향(Y 방향)에 직교하는 제2 방향(Z 방향)을 따라 서로 이격될 수 있다. 제1 가스 도입관(112a)은 제2 가스 도입관(112b)보다 제2 방향(Z 방향)에서 상대적으로 높은 위치에 배치될 수 있다. 제1 및 제2 가스 도입관들(112a, 112b)은 제2 방향(Z 방향)에서의 중심 영역에 위치하는 가스 인젝터(100)의 내부 공간에 각각 연통될 수 있다.
제1 및 제2 가스 도입관들(112a, 112b)은 매니폴드(24)에 형성된 제1 및 제2 흡기홀들(25a, 25b)과 각각 연통될 수 있다. 제1 및 제2 여기부들(44a, 44b)에 의해 발생된 수소 라디칼(H*)은 제1 및 제2 가스 도입관들(112a, 112b)로 각각 공급될 수 있다.
제1 우회 유로(114a)는 제1 가스 도입관(112a)으로부터 공정 챔버(20)의 상부를 향하여 제2 방향(Z 방향)으로 연장할 수 있다. 제2 우회 유로(114b)는 제2 가스 도입관(112b)으로부터 공정 챔버(20)의 하부를 향하여 제2 방향의 반대 방향(-Z 방향)으로 연장할 수 있다. 제1 우회 유로(114a) 및 제2 우회 유로(114b)는 제2 방향(Z 방향)을 따라 서로 이격될 수 있다. 제1 및 제2 우회 유로들(114a, 114b)는 공정 챔버(20)의 일측벽, 즉, 매니폴드(24)로부터 공정 챔버(20)의 중심축을 향하여 동일한 제1 거리만큼 이격될 수 있다.
분배 유로(124)는 제1 및 제2 우회 유로들(114a, 114b)로부터 제1 방향(Y 방향)으로 이격 배치될 수 있다. 분배 유로(124)는 제1 우회 유로(114a)의 출구(116a)로부터 제2 우회 유로(114b)의 출구(116b)까지 연장할 수 있다. 분배 유로(124)는 제1 우회 유로(114a)의 출구(116a)로부터 제2 방향의 반대 방향(-Z 방향)으로 연장하는 제1 분배 유로 및 제2 우회 유로(114b)의 출구(116b)로부터 제2 방향(Z 방향)으로 연장하는 제2 분배 유로를 포함할 수 있다. 상기 제1 분배 유로 및 상기 제2 분배 유로는 서로 연통하여 분배 유로(124)를 형성할 수 있다. 분배 유로(124)는 공정 챔버(20)의 일측벽, 즉, 매니폴드(24)로부터 공정 챔버(20)의 중심축을 향하여 상기 제1 거리보다 큰 제2 거리만큼 이격될 수 있다.
복수 개의 분사구들(132)은 공정 챔버(20)의 중심축을 향하는 분배 유로(124)의 외측면에 제2 방향(Z 방향)을 따라 이격 형성될 수 있다. 분사구들(132)은 공정 챔버(20) 내의 보트(30) 상에 적층 배치된 웨이퍼들(W)에 대응하여 배치될 수 있다.
예시적인 실시예들에 있어서, 가스 인젝터(100)는 제2 방향(Z 방향)으로 연장하는 상기 내부 공간 내에 배치되는 보상 플레이트(120)를 포함할 수 있다. 보상 플레이트(120)는 가스 인젝터(100)의 상기 내부 공간 내에서 제2 방향(Z 방향)으로 연장할 수 있다. 보상 플레이트(120)는 가스 인젝터(100)의 베이스 플레이트(110)와 이격 배치되어 제1 및 제2 우회 유로들(114a, 114b)을 정의할 수 있다. 보상 플레이트(120)는 가스 인젝터(100)의 분배 플레이트(130)와 이격 배치되어 분배 유로(124)를 정의할 수 있다.
따라서, 가스 인젝터(100)는 제1 가스 공급부(40)로부터의 상기 제1 공정 가스를 공정 챔버(20)의 중심축을 향하여 곧바로 공급하지 않고, 제1 우회 유로(114a) 및 제2 우회 유로(114b)를 공정 챔버의 상부 및 하부를 향하여 각각 우회시킨 후, 분배 유로(124)의 외측벽에 형성된 분사구들(132)를 통해 공정 챔버(20)의 중심축을 향하여 균일하게 공급할 수 있다.
분배 유로(124)의 연장 방향을 따라 이동하는 상기 제1 공정 가스는 보상 플레이트(120)를 따라 이동하면서 상대적으로 높은 고온의 보상 플레이트(120)에 의해 열적 보상되어 수직 방향을 따라 분사구들(132) 사이에 균일한 온도 및 유량을 제공할 수 있다.
예시적인 실시예들에 있어서, 분사 노즐(60)은 공정 챔버(20) 내에서 가스 인젝터(100)에 인접하게 수직 방향(Z 방향)을 따라 연장할 수 있다. 분사 노즐(60)은 공정 챔버(20)의 상부로부터 하부로 연장할 수 있다. 분사 노즐(60)은 분사 노즐(60)의 연장 방향을 따라 이격되어 상기 제2 공정 가스를 분사하기 위한 복수 개의 분사구들(62)을 포함할 수 있다.
제2 가스 공급부(50)는 제2 가스 공급원(52) 및 제2 가스 도입로(53)를 포함할 수 있다. 예를 들면, 제2 가스 공급원(52)은 플루오르화 질소 가스(NF3)를 공급할 수 있다. 제2 가스 공급원(52)으로부터의 플루오르화 질소 가스는 제2 가스 도입로(53)를 통해 분사 노즐(60)로 공급될 수 있다.
도면에 도시되지는 않았지만, 제2 가스 도입로(53)에는 질량 유량 제어기가 설치되어 상기 플루오르화 질소 가스의 흐름을 제어할 수 있다. 따라서, 분사 노즐(60)로 공급되는 플루오르화 질소 가스의 유량을 제어할 수 있다.
도 4에 도시된 바와 같이, 분사 노즐(60)로부터 공급된 플루오르화 질소 가스는 가스 인젝터(100)로부터 공급된 수소 라디칼과 반응하여 플루오르화 암모늄 가스(NHxHy)를 생성할 수 있다. 상기 플루오르화 암모늄 가스는 웨이퍼(W) 표면의 자연 산화막과 반응함으로써, 휘발성의 플루오르규산암모늄으로 변환되어 제거될 수 있다.
예시적인 실시예들에 있어서, 웨이퍼 처리 장치(10)는 공정 챔버(20) 내의 가스를 배출시키는 배기부(70)를 포함할 수 있다.
공정 챔버(20)의 상기 제1 측벽과 마주하는 제2 측벽에는 배기홀(26)이 형성될 수 있다. 배기홀(26)는 드라이 펌프 또는 터보 분자 펌프와 같은 배기 펌프(P)에 연결될 수 있다. 따라서, 공정 챔버(20) 내의 가스는 공정 챔버(20) 내의 공간에 연결된 배기홀(26)을 통해 외부로 배출될 수 있다.
이하에서는, 상기 가스 인젝터의 구성에 대하여 상세히 설명하기로 한다.
도 5 내지 도 9를 참조하면, 가스 인젝터(100)는 베이스 플레이트(110), 보상 플레이트(120) 및 분배 플레이트(130)를 포함할 수 있다.
베이스 플레이트(110)는 공정 챔버(20)의 일측벽, 즉, 매니폴드(24)의 일측벽을 따라 제2 방향으로 연장하는 형상을 가질 수 있다. 매니폴드(24)의 일측벽을 향하는 베이스 플레이트(110)의 외측벽에는 제1 및 제2 가스 도입관들(112a, 112b)이 형성될 수 있다. 제1 및 제2 가스 도입관들(112a, 112b)는 상기 제2 방향과 직교하는 제1 방향으로 각각 연장할 수 있다.
베이스 플레이트(110)의 내측벽에는 제1 및 제2 우회 유로들(114a, 114b) 및 분배 유로(124)를 형성하기 위한 리세스(111)가 형성될 수 있다. 리세스(111)는 상기 제2 방향으로 연장할 수 있다. 리세스(111)의 저면은 제1 및 제2 가스 도입관들(112a, 112b)이 연결될 수 있다.
온도 보상 플레이트로서의 역할을 하는 보상 플레이트(120)는 리세스(111) 내에 고정 설치될 수 있다. 보상 플레이트(120)는 상기 제2 방향으로 연장할 수 있다. 보상 플레이트(120)는 베이스 플레이트(110)의 내측벽으로부터 이격되어 제1 및 제2 우회 유로들(114a, 114b)을 정의할 수 있다. 제1 우회 유로(114a)의 입구(115a)는 제1 가스 도입관(112a)과 연통될 수 있다. 제1 우회 유로(114a)는 상기 제2 방향으로 연장할 수 있다. 제2 우회 유로(114b)의 입구(115b)는 제2 가스 도입관(112b)과 연통될 수 잇다. 제2 우회 유로(114b)는 상기 제2 방향의 반대 방향으로 연장할 수 있다.
보상 플레이트(120)는 제1 및 제2 가스 도입관들(112a, 112b) 사이의 베이스 플레이트(120) 상에 배치되는 차단 부재(122)를 포함할 수 있다. 제1 및 제2 우회 유로들(114a, 114b)은 차단 부재(122)에 의해 서로 분리될 수 있다.
분배 플레이트(130)는 리세스(111)를 커버하도록 베이스 플레이트(110) 상에 고정될 수 있다. 분배 플레이트(130)는 보상 플레이트(120)와 이격되어 분배 유로(124)를 형성할 수 있다. 분배 유로(124)는 제1 우회 유로(114a)의 출구(116a)로부터 제2 우회 유로(114b)의 출구(116b)까지 연장할 수 있다. 분배 유로(124)는 제1 우회 유로(114a)의 출구(116a)로부터 상기 제2 방향의 반대 방향으로 연장하는 제1 분배 유로 및 제2 우회 유로(114b)의 출구(116b)로부터 상기 제2 방향으로 연장하는 제2 분배 유로를 포함할 수 있다. 상기 제1 분배 유로 및 상기 제2 분배 유로는 서로 연통하여 분배 유로(124)를 형성할 수 있다.
복수 개의 분사구들(132)은 분배 유로(124)에 상기 제2 방향을 따라 이격 형성될 수 있다. 예를 들면, 상기 분사구는 원형, 타원형 또는 다각형 형상을 가질 수 있다. 상기 분사구의 크기는 분배 유로(124)의 연장 방향을 따른 위치에 따라 변화될 수 있다.
예를 들면, 베이스 플레이트(110), 보상 플레이트(120) 및 분배 플레이트(130)는 석영, 스테인레스강 또는 합금을 포함할 수 있다. 보상 플레이트(120)는 석영 또는 알루미늄과 같은 금속을 포함할 수 있다.
도 9에 도시된 바와 같이, 분배 유로(124)는 상기 제2 방향을 따라 서로 다른 직경을 가질 수 있다. 예를 들면, 제1 우회 유로(114a)의 출구(116a)로부터 제1 거리만큼 떨어진 분배 유로(124)의 제1 부분은 제1 직경(D1)을 가지고, 상기 제1 거리보다 큰 제2 거리만큼 떨어진 분배 유로(124)의 제2 부분은 제1 직경(D1)보다 큰 제2 직경(D2)을 가질 수 있다.
상기 온도 보상 플레이트로서의 보상 플레이트(120)의 두께(T)는 외측부 유로인 제1 우회 유로(114a)와 내측부 유로인 분배 유로(124) 사이의 이격 거리를 결정할 수 있다. 상기 보상 플레이트의 두께(T) 및 재질은 가스 인젝터의 연장 방향을 따른 제1 공정 가스의 온도 프로파일을 고려하여 결정될 수 있다.
도 10에 도시된 바와 같이, 분배 유로(124)의 단면적은 상기 제2 방향을 따라 증가 또는 감소할 수 있다. 예를 들면, 분배 유로(124)의 단면적은 제1 우회 유로(114a)의 출구(116a)로부터 멀어질수록 점차적으로 증가할 수 있다. 도면에 도시되지는 않았지만, 분배 유로(124)의 단면적은 제2 우회 유로(114b)의 출구(116b)로부터 멀어질수록 점차적으로 증가할 수 있다. 또한, 분배 유로(124)의 중심 부분은 일정한 단면적을 가질 수 있다.
도 11a는 가스 인젝터 내의 제1 공정 가스의 흐름을 나타내는 단면도이고, 도 11b는 도 11a의 상기 제1 공정 가스의 온도 변화를 나타내는 그래프들이다.
도 11a 및 도 11b를 참조하면, 제1 우회 유로(114a)를 흐르는 제1 공정 가스(F1)는 가스 인젝터의 상단을 향하여 Z 방향으로 흐른 후, 분배 유로(124)를 흐르는 제1 공정 가스(F2)는 가스 인젝터의 중앙부를 향하여 Z 방향으로 흐르게 된다. 그래프(G1)은 제1 우회 유로(114a)를 흐르는 제1 공정 가스(F1)의 온도 변화를 나타내고, 그래프(G2)는 분배 유로(124)를 흐르는 제1 공정 가스(F2)의 온도 변화를 나타내고, 그래프(G3)은 보상 플레이트(120)의 온도 변화를 나타낸다.
제1 우회 유로(114a)를 흐르는 제1 공정 가스(F1)의 온도는 상기 가스 인젝터의 상단을 향하여 Z 방향으로 갈수록 점차적으로 감소하고, 보상 플레이트(120)의 온도는 제1 공정 가스(F1)의 영향에 의해 Z 방향으로 갈수록 점차적으로 감소한다. 분배 유로(124)를 흐르는 제1 공정 가스(F2)는 보상 플레이트(120)를 따라 이동하면서 상대적으로 높은 고온의 보상 플레이트(120)에 의해 열적 보상되어 일정한 온도를 유지할 수 있다. 따라서, 분배 유로(124)를 따라 분사구들(132)로부터 분사되는 제1 공정 가스는 Z 방향을 따라 균일한 온도 분포를 가질 수 있다.
도 12는 비교예에 따른 가스 인젝터를 나타내는 단면도이다.
도 12를 참조하면, 비교예에 따른 가스 인젝터(200)는 제1 및 제2 가스 도입관들(210a, 210b), 제1 및 제2 가스 도입관들(210a, 210b)에 연결된 노즐 유로(212) 및 노즐 유로(212)의 외측면에 형성된 복수 개의 분사구들(222)을 포함할 수 있다. 또한, 가스 인젝터(200)는 제1 및 제2 가스 도입관들(210a, 210b)에 대응하는 위치에 각각 배치되어 공급되는 공정 가스를 확산시키는 디퓨저들(230)을 포함할 수 있다.
도 13은 도 5의 가스 인젝터 및 도 12의 가스 인젝터로부터 분사되는 연장 방향에 따른 공정 가스의 온도 프로파일을 나타내는 그래프이고, 도 14는 도 5의 가스 인젝터 및 도 12의 가스 인젝터로부터 분사되는 연장 방향에 따른 공정 가스의 가스 유량 프로파일을 나타내는 그래프이다.
도 13 및 도 14를 참조하면, 리턴형 샤워 헤드로서의 도 5의 가스 인젝터(실시예)는 T-분기형 샤워 헤드로서의 도 12의 가스 인젝터(비교예)에 비해 균일한 온도 분포 및 유량 분포를 제공할 수 있다.
도 15는 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다. 상기 가스 인젝터는 분배 유로의 형상을 제외하고는 도 5의 가스 인젝터와 실질적으로 동일하다. 이에 따라, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 15를 참조하면, 가스 인젝터(101)는 제1 및 제2 가스 도입관들(112a, 112b), 제1 및 제2 우회 유로들(114a, 114b), 제1 및 제2 분배 유로들(124a, 124b), 및 복수 개의 분사구들(132)을 포함할 수 있다. 가스 인젝터(101)는 제1 및 제2 분배 유로들(124a, 124b) 사이에 차단 플레이트(128)를 포함할 수 있다.
제1 우회 유로(114a)는 제1 가스 도입관(112a)으로부터 제2 방향으로 연장할 수 있다. 제1 분배 유로(124a)는 제1 우회 유로(114a)의 출구(116a)로부터 상기 제2 방향의 반대 방향으로 연장할 수 있다. 제2 우회 유로(114b)는 제2 가스 도입관(112b)으로부터 상기 제2 방향의 반대 방향으로 연장할 수 있다. 제2 분배 유로(124b)는 제2 우회 유로(114b)의 출구(116b)로부터 상기 제2 방향으로 연장할 수 있다. 복수 개의 분사구들(132)은 제1 및 제2 분배 유로들(124a, 124b))에 상기 제2 방향을 따라 이격 형성될 수 있다.
제1 및 제2 분배 유로들(124a, 124b)는 차단 플레이트(128)에 의해 서로 분리될 수 있다. 예를 들면, 제1 분배 유로(124a)의 길이는 제2 분배 유로(124b)의 길이와 같을 수 있다.
도 16은 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다. 상기 가스 인젝터는 제1 및 제2 분배 유로들의 길이를 제외하고는 도 15의 가스 인젝터와 실질적으로 동일하다. 이에 따라, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 16을 참조하면, 가스 인젝터(102)는 차단 플레이트(128)에 의해 서로 분리되는 제1 및 제2 분배 유로들(124a, 124b)을 포함할 수 있다.
예를 들면, 제1 분배 유로(124a)의 길이(L1)는 제2 분배 유로(124b)의 길이(L2)보다 클 수 있다.
이 경우에 있어서, 도 1 및 도 4의 마이크로파 여기부(44)의 제1 여기부(44a)는 도입된 암모니아 가스에 대하여 제1 파워의 마이크로파를 조사하고, 제2 여기부(44b)는 도입된 암모니아 가스에 대하여 상기 제1 파워와 다른 제2 파워의 마이크로파를 조사할 수 있다. 따라서, 제1 및 제2 분배 유로들(124a, 124b)를 통해 분사되는 수소 라디칼(H*)의 농도를 각각 제어할 수 있다.
도 17은 예시적인 실시예들에 따른 가스 인젝터를 나타내는 사시도이다. 도 18은 도 17의 가스 인젝터를 나타내는 분해 사시도이다. 도 19는 도 17의 가스 인젝터의 연장 방향을 따라 절단한 상태의 사시도이다. 도 20은 도 19의 가스 인젝터를 나타내는 측면도이다. 도 21은 도 17의 가스 인젝터의 제1 우회 유로 및 제1 분배 유로를 나타내는 확대 단면도이다. 상기 가스 인젝터는 보상 플레이트로의 형상을 제외하고는 도 5의 가스 인젝터와 실질적으로 동일하다. 이에 따라, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 17 내지 도 21을 참조하면, 가스 인젝터(103)는 베이스 플레이트(110), 보상 플레이트(120), 제1 및 제2 가이드 플레이트들(122a, 122b) 및 분배 플레이트(130)를 포함할 수 있다.
베이스 플레이트(110)는 제2 방향으로 연장하는 형상을 가질 수 있다. 베이스 플레이트(110)의 외측벽에는 제1 및 제2 가스 도입관들(112a, 112b)이 형성될 수 있다. 제1 및 제2 가스 도입관들(112a, 112b)는 상기 제2 방향과 직교하는 제1 방향으로 각각 연장할 수 있다.
베이스 플레이트(110)의 내측벽에는 제1 및 제2 우회 유로들(114a, 114b)를 각각 형성하기 위한 제1 및 제2 리세스들(111a, 111b)가 형성될 수 있다. 제1 및 제2 리세스들(111a, 111b)은 상기 제2 방향을 따라 서로 이격 형성될 수 있다. 제1 및 제2 리세스들(111a, 111b)은 상기 제2 방향으로 각각 연장할 수 있다. 제1 리세스(111a)의 저면은 제1 가스 도입관(112a)과 연결될 수 있다. 제2 리세스(111b)의 저면은 제2 가스 도입관(112b)과 연결될 수 있다.
제1 및 제2 가스 도입관들(112a, 112b) 사이의 베이스 플레이트(110)의 내측벽에는 차단 부재(118)가 돌출 형성될 수 있다. 제1 및 제2 리세스들(111a, 111b)은 차단 부재(118)에 의해 서로 분리될 수 있다.
제1 가이드 플레이트(122a)는 제1 리세스(111a)를 커버하도록 베이스 플레이트(110) 상에 고정되고, 제2 가이드 플레이트(122b)는 제2 리세스(111b)를 커버하도록 베이스 플레이트(110) 상에 고정될 수 있다. 제1 가이드 플레이트(122a)는 베이스 플레이트(110)의 내측벽으로부터 이격되어 제1 우회 유로(114a)를 정의하고, 제2 가이드 플레이트(122b)는 베이스 플레이트(110)의 내측벽으로부터 이격되어 제2 우회 유로(114b)를 정의할 수 있다. 제1 우회 유로(114a)의 입구(115a)는 제1 가스 도입관(112a)과 연통될 수 있다. 제1 우회 유로(114a)는 상기 제2 방향으로 연장할 수 있다. 제2 우회 유로(114b)의 입구(115b)는 제2 가스 도입관(112b)과 연통될 수 잇다. 제2 우회 유로(114b)는 상기 제2 방향의 반대 방향으로 연장할 수 있다.
제1 가이드 플레이트(122a)는 외측벽으로부터 돌출된 제1 갭 돌출부(126a)를 포함할 수 있다. 제1 갭 돌출부(126a)는 제1 가이드 플레이트(122a)의 일단부에 형성된 제1 관통홀(125a) 둘레에 형성될 수 있다. 제2 가이드 플레이트(122b)는 외측벽으로부터 돌출된 제2 갭 돌출부(126b)를 포함할 수 있다. 제2 갭 돌출부(126b)는 제2 가이드 플레이트(122b)의 일단부에 형성된 제2 관통홀(125b) 둘레에 형성될 수 있다.
보상 플레이트(120)는 제1 및 제2 가이드 플레이트들(122a, 122b)을 개재하도록 베이스 플레이트(110) 상에 고정 설치될 수 있다. 보상 플레이트(120)는 상기 제2 방향으로 연장할 수 있다. 보상 플레이트(120)의 내측벽에는 제1 및 제2 분배 유로들(124a, 124b)를 각각 형성하기 위한 제3 및 제4 리세스들(121a, 121b)가 형성될 수 있다. 제3 및 제4 리세스들(121a, 121b)는 차단 플레이트(128)에 의해 서로 분리될 수 있다.
보상 플레이트(120)의 양단부에는 제1 및 제2 관통홀들(125a, 125b)에 각각 연통되어 제1 및 제2 우회 유로들(114a, 114b)의 출구들(116a, 116b)을 형성하는 제3 및 제4 관통홀들(123a, 123b)이 형성될 수 있다.
예시적인 실시예들에 있어서, 제1 및 제2 가이드 플레이트들(122a, 122b)은 보상 플레이트(120)의 외측벽에 형성되어 온도 보상 플레이트를 형성할 수 있다. 제1 및 제2 가이드 플레이트들(122a, 122b)은 보상 플레이트(120)의 외측벽에 일체로 형성될 수 있다. 따라서, 상기 온도 보상 플레이트는 내부에 일방향으로 연장 형성된 갭(129)을 포함할 수 있다.
구체적으로, 제1 가이드 플레이트(122a)와 보상 플레이트(120) 사이에는 제1 갭 돌출부(126a)에 의해 제1 갭(129a)이 형성되고, 제2 가이드 플레이트(122b)와 보상 플레이트(120) 사이에는 제2 갭 돌출부(126b)에 의해 제2 갭이 형성될 수 있다. 상기 제1 및 제2 갭들은 상기 제2 방향을 따라 각각 연장할 수 있다.
또한, 베이스 플레이트(110)와 보상 플레이트(120) 사이에는 중앙 갭(129b)이 형성될 수 있다. 제2 갭(129b)은 제1 및 제2 가이드 플레이트들(122a, 122b) 사이에서 상기 제2 방향을 따라 연장할 수 있다.
상기 온도 보상 플레이트 내부의 갭들(129, 129a, 129b)의 연장 길이, 두께, 폭들은 가스 인젝터의 연장 방향을 따른 제1 공정 가스의 온도 프로파일을 고려하여 결정될 수 있다.
분배 플레이트(130)는 제3 및 제4 리세스들(121a, 121b)을 커버하도록 보상 플레이트(120) 상에 고정될 수 있다. 분배 플레이트(130)는 보상 플레이트(120)와 이격되어 제1 및 제2 분배 유로들(124a, 124b)를 형성할 수 있다. 제1 분배 유로(124a)는 제1 우회 유로(114a)의 출구(116a)로부터 상기 제2 방향의 반대 방향으로 연장할 수 있다. 제2 분배 유로(124b)는 제2 우회 유로(114b)의 출구(116b)로부터 상기 제2 방향으로 연장할 수 있다.
복수 개의 분사구들(132)은 제1 및 제2 분배 유로들(124a, 124b)에 상기 제2 방향을 따라 이격 형성될 수 있다.
가스 인젝터(103)는 베이스 플레이트(110)를 공정 챔버(20)의 일측벽, 즉, 매니폴드(24)의 일측벽에 고정시키기 위한 제1 및 제2 고정 플레이트들(140a, 140b)을 더 포함할 수 있다.
도 22는 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다. 상기 가스 인젝터는 열 용량 부재를 추가를 제외하고는 도 17의 가스 인젝터와 실질적으로 동일하다. 이에 따라, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 22를 참조하면, 가스 인젝터는 외측벽에 구비된 열 용량 부재(150)를 더 포함할 수 있다.
예를 들면, 열 용량 부재(150)는 베이스 플레이트(110)의 외측벽 상에 소정의 두께를 갖도록 구비되어 베이스 플레이트(110)의 온도 변화율을 감소시킬 수 있다. 열 용량 부재(150)는 베이스 플레이트(110)의 외측벽에 일체로 형성될 수 있다.
도 23은 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다. 상기 가스 인젝터는 제1 및 제2 가스 도입관들의 개수를 제외하고는 도 17의 가스 인젝터와 실질적으로 동일하다. 이에 따라, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 23을 참조하면, 가스 인젝터(104)의 베이스 플레이트(110)는 2개의 제1 가스 도입관들(112a) 및 2개의 제2 가스 도입관들(112b)을 포함할 수 있다. 제1 우회 유로(114a)는 2개의 제1 가스 도입관들(112a)에 연통되고, 제2 우회 유로(114b)는 2개의 제2 가스 도입관들(112b)에 연통될 수 있다.
따라서, 제1 및 제2 우회 유로들(114a, 114b)로 공급되는 공정 가스의 유량을 제어할 수 있다.
이와 다르게, 제1 가스 도입관들(112a)의 개수와 제2 가스 도입관들(112b)의 개수는 서로 다를 수 있다. 예를 들면, 상기 가스 인젝터의 베이스 플레이트(110)는 2개의 제1 가스 도입관들(112a) 및 1개의 제2 가스 도입관(112b)을 포함할 수 있다.
도 24는 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다. 상기 가스 인젝터는 제1 및 제2 가스 도입관들의 개수를 제외하고는 도 23의 가스 인젝터와 실질적으로 동일하다. 이에 따라, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 24를 참조하면, 가스 인젝터(105)는 차단 플레이트(128)에 의해 서로 분리되는 제1 및 제2 분배 유로들(124a, 124b)을 포함할 수 있다.
예를 들면, 제1 분배 유로(124a)의 길이(L1)는 제2 분배 유로(124b)의 길이(L2)보다 클 수 있다.
이 경우에 있어서, 도 1 및 도 4의 마이크로파 여기부(44)의 제1 여기부(44a)는 도입된 암모니아 가스에 대하여 제1 파워의 마이크로파를 조사하고, 제2 여기부(44b)는 도입된 암모니아 가스에 대하여 상기 제1 파워와 다른 제2 파워의 마이크로파를 조사할 수 있다. 따라서, 제1 및 제2 분배 유로들(124a, 124b)를 통해 분사되는 수소 라디칼(H*)의 농도를 각각 제어할 수 있다.
도 25는 예시적인 실시예들에 따른 가스 인젝터를 나타내는 단면도이다. 상기 가스 인젝터는 가스 도입관 및 우회 유로의 개수를 제외하고는 도 5의 가스 인젝터와 실질적으로 동일하다. 이에 따라, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 25를 참조하면, 가스 인젝터(106)는 베이스 플레이트(110), 보상 플레이트(120) 및 분배 플레이트(130)를 포함할 수 있다.
베이스 플레이트(110)는 공정 챔버(20)의 일측벽, 즉, 매니폴드(24)의 일측벽을 따라 제2 방향으로 연장하는 형상을 가질 수 있다. 매니폴드(24)의 일측벽을 향하는 베이스 플레이트(110)의 외측벽에는 하나의 가스 도입관(112)이 형성될 수 있다. 가스 도입관(112)은 상기 제2 방향과 직교하는 제1 방향으로 각각 연장할 수 있다.
베이스 플레이트(110)의 내측벽에는 우회 유로(114) 및 분배 유로(124)를 형성하기 위한 리세스가 형성될 수 있다. 상기 리세스는 상기 제2 방향으로 연장할 수 있다. 상기 리세스의 저면은 가스 도입관(112)과 연결될 수 있다.
보상 플레이트(120)는 상기 리세스 내에 고정 설치될 수 있다. 보상 플레이트(120)는 상기 제2 방향으로 연장할 수 있다. 보상 플레이트(120)는 베이스 플레이트(110)의 내측벽으로부터 이격되어 하나의 우회 유로(114)를 정의할 수 있다. 우회 유로(114)는 가스 도입관(112)과 연통될 수 있다. 우회 유로(114)는 상기 제2 방향으로 연장할 수 있다.
분배 플레이트(130)는 상기 리세스를 커버하도록 베이스 플레이트(110) 상에 고정될 수 있다. 분배 플레이트(130)는 보상 플레이트(120)와 이격되어 분배 유로(124)를 형성할 수 있다. 분배 유로(124)는 우회 유로(114)의 출구(116)로부터 상기 제2 방향의 반대 방향으로 연장할 수 있다.
복수 개의 분사구들(132)은 분배 유로(124)에 상기 제2 방향을 따라 이격 형성될 수 있다.
이하에서는, 도 1의 웨이퍼 처리 장치를 이용하여 복수 개의 웨이퍼들을 처리하는 방법 및 이를 이용한 반도체 장치의 제조 방법에 대하여 설명하기로 한다.
도 26은 예시적인 실시예들에 따른 웨이퍼 처리 방법을 나타내는 순서도이다. 상기 웨이퍼 처리 방법은 클리닝 공정에 의해 웨이퍼 표면에 형성된 자연 산화막을 제거하기 위해 사용될 수 있으나, 반드시 이에 한정되는 것은 아니다.
도 1 내지 도 4 및 도 26을 참조하면, 먼저, 웨이퍼 처리 장치(10)의 공정 챔버(20) 내에 복수 개의 웨이퍼들(W)을 로딩할 수 있다(S10).
예시적인 실시예들에 있어서, 공정 챔버(20)는 수직형 배치 타입의 반응로일 수 있다. 웨이퍼(W)은 예를 들면, 실리콘 웨이퍼 또는 게르마늄 웨이퍼와 같은 반도체 웨이퍼일 수 있다. 한편, 웨이퍼(W) 상에는 각종 구조물들(도시되지 않음)이 더 형성될 수 있다.
예를 들어, 웨이퍼(W) 상에 금속, 금속 질화물, 금속 실리사이드, 금속 산화물 등을 포함하는 도전막(도시되지 않음)이나 전극(도시되지 않음), 혹은 실리콘 산화물 또는 실리콘 질화물을 포함하는 절연막(도시되지 않음) 등이 더 형성될 수 있다. 일부 실시예들에 있어서, 내부에 홀 또는 개구부를 포함하는 상기 절연막이 기판(W) 상에 형성될 수 있다.
이어서, 공정 챔버(20) 내에 설치된 가스 인젝터(200)의 분사구들(132)을 통해 제1 공정 가스를 웨이퍼들(W) 상에 공급할 수 있다(S12). 또한, 공정 챔버(20) 내에 설치된 분사 노즐(60)의 분사구들(62)을 통해 제2 공정 가스를 웨이퍼들(W) 상에 공급할 수 있다.
상기 제1 공정 가스는 수소 라디칼(H*)을 포함하고, 상기 제2 공정 가스는 플루오르화 질소 가스(NF3)를 포함할 수 있다. 상기 플루오르화 질소 가스와 상기 수소 라디칼은 서로 반응하여 플루오르화 암모늄 가스(NHxHy)를 생성할 수 있다. 상기 플루오르화 암모늄 가스는 웨이퍼(W) 표면의 자연 산화막과 반응함으로써, 휘발성의 플루오르규산암모늄으로 변환되어 제거될 수 있다.
이 후, 공정 챔버(20) 내의 가스를 외부로 배출시킬 수 있다(S14).
공정 챔버(20) 내의 가스는 배기홀(26)을 통해 외부로 배출될 수 있다.
웨이퍼(W) 표면 상에 자연 산화막을 제거한 이후에, 웨이퍼들(W)을 공정 챔버(102)로부터 언로딩할 수 있다.
이하에서는, 도 26의 웨이퍼 처리 방법을 이용하여 반도체 장치를 제조하는 방법에 대하여 설명하기로 한다.
도 27 내지 도 30은 예시적인 실시예들에 따른 수직형 메모리 장치를 제조하는 방법을 나타내는 수직 단면도들이다. 상기 도면들에서 웨이퍼 기판 상면에 수직한 방향을 제1 방향, 상기 기판 상면에 평행하면서 서로 수직한 두 방향들을 각각 제2 및 제3 방향으로 정의하며, 도면상에서 화살표로 표시된 방향 및 이의 반대 방향은 모두 동일한 방향으로 간주한다. 전술한 방향에 대한 정의는 이후 모든 도면들에서 동일하다.
웨이퍼 기판(300) 상에 제1 절연막(310) 및 희생막(320)을 교대로 반복적으로 적층한다. 이에 따라, 복수의 제1 절연막들(310) 및 복수의 희생막들(320)이 상기 제1 방향을 따라 교대로 적층될 수 있다. 웨이퍼 기판(300)은 실리콘, 게르마늄 등과 같은 반도체 물질을 포함할 수 있다.
예시적인 실시예들에 따르면, 제1 절연막들(510) 및 희생막들(520)은 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정, 플라즈마 화학 기상 증착(Plasma Enhanced Chemical Vapor Deposition: PECVD) 공정, 원자층 증착(Atomic Layer Deposition: ALD) 공정 등을 통해 형성할 수 있다.
제1 절연막들(310)은 실리콘 산화물을 사용하여 형성될 수 있으며, 희생막들(320)은 제1 절연막(310)에 대해 식각 선택비를 갖는 물질, 예를 들어, 실리콘 질화물을 사용하여 형성될 수 있다.
도 27을 참조하면, 제1 절연막들(310) 및 희생막들(320)을 관통하여 웨이퍼 기판(300) 상면을 노출시키는 복수 개의 개구들(350)을 형성한다.
예시적인 실시예들에 따르면, 개구들(350)은 최상층 제1 절연막(310) 상에 하드 마스크(도시되지 않음)를 형성하고, 상기 하드 마스크를 식각 마스크로 사용하는 건식 식각 공정을 통해 형성될 수 있다. 이에 따라, 각 개구들(350)은 상기 제1 방향으로 연장되도록 형성될 수 있다. 다만, 상기 건식 식각 공정의 특성 상, 각 개구들(350)은 아래로 갈수록 폭이 좁아지도록 형성될 수도 있다.
이어서, 도 1 내지 도 4 및 도 26을 참조로 설명한 웨이퍼 처리 방법을 이용하여 개구들(350)에 의해 노출된 웨이퍼 기판(300) 표면에 형성된 자연 산화막을 제거하는 클리닝 공정을 수행할 수 있다.
도 29를 참조하면, 각 개구들(350)을 부분적으로 채우는 반도체 패턴(360)을 형성한 후, 개구들(350)의 내측벽, 반도체 패턴(360)의 상면 및 상기 하드 마스크의 상면에 제1 블로킹막(370), 전하 저장막(380), 터널 절연막(390), 제1 채널막(400), 식각 저지막(410) 및 스페이서막(420)을 순차적으로 형성할 수 있다.
개구들(350)에 의해서 노출된 기판(300) 상면을 시드(seed)로 사용하는 선택적 에피택시얼 성장(Selective Epitaxial Growth: SEG) 공정을 수행하여 개구들(350)을 부분적으로 채우는 반도체 패턴(360)을 형성할 수 있다.
예를 들면, 원자층 적층(ALD) 공정들을 수행하여 웨이퍼 기판(300) 상에 균일한 두께를 갖는 제1 블로킹막(370), 전하 저장막(380) 및 터널 절연막(390)을 순차적으로 형성할 수 있다.
도 30을 참조하면, 스페이서막(420)을 이방성 식각하여 반도체 패턴(360) 상면에 형성된 부분을 제거함으로써 각 홀들(350)의 내측벽 상에 스페이서를 형성한 후, 상기 스페이서를 식각 마스크로 사용하여 하부의 식각 저지막(410) 및 제1 채널막(400)을 각각 식각함으로써, 터널 절연막(390) 일부를 노출시키는 식각 저지막 패턴 및 제1 채널(402)을 각각 형성한다. 즉, 반도체 패턴(360) 상면의 중앙부 및 하드 마스크(340) 상에 형성된 식각 저지막(410) 및 제1 채널막(400) 부분이 제거될 수 있다.
이어서, 노출된 터널 절연막(390) 부분, 전하 저장막(380) 부분, 및 제1 블로킹막(370) 부분을 제거하여 각각 터널 절연막 패턴(392), 전하 저장막 패턴(382) 및 제1 블로킹막 패턴(372)을 형성할 수 있다. 이에 따라 반도체 패턴(360)의 상면 중앙부 및 하드 마스크(340)의 상면이 노출될 수 있다.
이어서, 도 1 내지 도 4 및 도 26을 참조로 설명한 웨이퍼 처리 방법을 이용하여 노출된 반도체 패턴(360)의 표면에 형성된 자연 산화막을 제거하는 클리닝 공정을 수행할 수 있다.
이후, 도면에 도시되지는 않았지만, 희생막들(320)을 제거하고 웨이퍼 기판(300) 상면으로부터 상기 제1 방향을 따라 순차적으로 형성된 게이트 전극들(GSL, 워드 라인, SSL)을 형성하고, 상기 구조물 상에 제2 방향으로 각각 연장하는 비트 라인들을 형성하여 수직형 메모리 장치를 완성할 수 있다.
예시적인 실시예들에 따른 웨이퍼 처리 방법 및 웨이퍼 처리 장치를 이용하여 형성된 DRAM, VNAND 등과 같은 반도체 소자는 컴퓨팅 시스템과 같은 다양한 형태의 시스템들에 사용될 수 있다. 상기 시스템은 컴퓨터, 휴대용 컴퓨터, 랩톱 컴퓨터, 개인휴대단말기, 태블릿, 휴대폰, 디지털 음악 재생기 등에 적용될 수 있다.
이상에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
10: 웨이퍼 처리 장치 20: 공정 챔버
22: 게이트 24: 매니폴드
25a: 제1 흡기 홀 25b: 제2 흡기 홀
26: 배기구 30: 보트
40: 제1 가스 공급부 42: 제1 가스 공급원
43: 제1 가스 공급로 43a: 제1 공급로
43b: 제2 공급로 44: 마이크로파 여기부
44a: 제1 여기부 45b: 제2 여기부
45a: 제1 도입로 45b: 제2 도입로
50: 제2 가스 공급부 60: 분사 노즐
62, 132, 222: 분사구 70: 배기부
100, 101, 102, 103, 104, 105, 106, 200: 가스 인젝터
110: 베이스 플레이트 111: 리세스
111a: 제1 리세스 111b: 제2 리세스
112: 가스 도입관 112a, 210a: 제1 가스 도입관
112b, 210b: 제2 가스 도입관 114: 우회 유로
114a: 제1 우회 유로 114b: 제2 우회 유로
115a, 115b: 입구 116a, 116b: 출구
118, 122: 차단 부재 120: 보상 플레이트
121a: 제3 리세스 121b: 제4 리세스
122a: 제1 가이드 플레이트 122b: 제2 가이드 플레이트
124: 분배 유로 124a: 제1 분배 유로
124b: 제2 분배 유로 126a: 제1 가이드 플레이트
126b: 제2 가이드 플레이트 128: 차단 플레이트
129: 갭 129a: 제1 갭
129b: 제2 갭 130: 분배 플레이트
140a, 140b: 고정 플레이트 150: 열 용량 부재
212: 노즐 유로 230: 디퓨져
300: 웨이퍼 기판 220, 350: 개구
310: 제1 절연막 320: 희생막
340: 하드 마스크 360: 반도체 패턴
370: 제1 블로킹막 372: 제1블로킹막 패턴
380: 전하 저장막 382: 전하 저장막 패턴
390: 터널 절연막 392: 터널 절연막 패턴
400: 제1 터널막 402: 제1 채널
410: 식각 저지막 420: 스페이서막

Claims (20)

  1. 공정 챔버의 중심축을 향하는 제1 방향으로 각각 연장하며 가스 공급원으로부터의 공정 가스를 상기 공정 챔버 내로 도입하기 위한 제1 및 제2 가스 도입관들;
    상기 제1 가스 도입관으로부터 상기 제1 방향에 직교하는 제2 방향으로 연장하는 제1 우회 유로;
    상기 제2 가스 도입관으로부터 상기 제2 방향의 반대 방향으로 연장하는 제2 우회 유로;
    상기 제1 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 제1 우회 유로의 출구로부터 상기 제2 방향의 반대 방향으로 연장하는 제1 분배 유로;
    상기 제2 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 제2 우회 유로의 출구로부터 상기 제2 방향으로 연장하는 제2 분배 유로;
    상기 제2 방향으로 연장하며, 외측벽에는 상기 제1 및 제2 가스 도입관들이 각각 연통되며, 내측벽에는 상기 제1 및 제2 우회 유로들 및 상기 제1 및 제2 분배 유로들을 형성하기 위한 리세스가 형성된 베이스 플레이트;
    상기 리세스 내에 고정 설치되며, 상기 베이스 플레이트의 내측벽으로부터 이격되도록 상기 제2 방향으로 연장하여 상기 제1 및 제2 우회 유로들을 형성하는 보상 플레이트;
    상기 리세스를 커버하도록 상기 베이스 플레이트 상에 고정되며, 상기 보상 플레이트와 이격되어 상기 제1 및 제2 분배 유로들을 형성하는 분배 플레이트; 및
    상기 제1 및 제2 분배 유로들의 외측면에 상기 분배 플레이트를 관통하도록 형성되며 상기 공정 가스를 분사하기 위한 복수 개의 분사구들을 포함하는 가스 인젝터.
  2. 제 1 항에 있어서, 상기 제1 분배 유로의 길이는 상기 제1 우회 유로의 길이보다 크고 상기 제2 분배 유로의 길이는 상기 제2 우회 유로의 길이보다 큰 가스 인젝터.
  3. 제 1 항에 있어서, 상기 제1 분배 유로의 길이는 상기 제2 분배 유로의 길이와 같은 가스 인젝터.
  4. 제 1 항에 있어서, 상기 제1 분배 유로의 길이는 상기 제2 분배 유로의 길이보다 크거나 작은 가스 인젝터.
  5. 제 1 항에 있어서, 상기 제1 분배 유로 및 상기 제2 분배 유로는 서로 연통되는 가스 인젝터.
  6. 제 1 항에 있어서, 상기 제1 분배 유로 및 상기 제2 분배 유로는 차단 플레이트에 의해 서로 차단되는 가스 인젝터.
  7. 제 1 항에 있어서, 상기 제1 분배 유로는 상기 제1 우회 유로의 출구로부터 멀어질수록 상기 제1 분배 유로의 단면적은 증가하고 상기 제2 분배 유로는 상기 제2 우회 유로의 출구로부터 멀어질수록 상기 제2 분배 유로의 단면적은 증가하는 가스 인젝터.
  8. 제 1 항에 있어서, 상기 보상 플레이트는 상기 제1 우회 유로 및 상기 제1 분배 유로 사이에 그리고 상기 제2 우회 유로 및 상기 제2 분배 유로 사이에 배치되는 가스 인젝터.
  9. 제 8 항에 있어서, 상기 보상 플레이트 내부에 일방향으로 연장하는 갭이 형성된 가스 인젝터.
  10. 제 8 항에 있어서, 상기 보상 플레이트는 석영 또는 금속을 포함하는 가스 인젝터.
  11. 공정 챔버의 중심축을 향하는 제1 방향으로 연장하며 가스 공급원으로부터의 공정 가스를 상기 공정 챔버 내로 도입하기 위한 가스 도입관;
    상기 가스 도입관으로부터 상기 제1 방향에 직교하는 제2 방향으로 연장하는 우회 유로;
    상기 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 우회 유로의 출구로부터 상기 제2 방향의 반대 방향으로 연장하는 분배 유로; 및
    상기 분배 유로의 외측면에 상기 분배 유로의 연장 방향을 따라 이격 형성되고 상기 공정 가스를 분사하기 위한 복수 개의 분사구들을 포함하고,
    상기 우회 유로 및 상기 분배 유로 사이에 보상 플레이트가 배치되고,
    상기 보상 플레이트 내부에 상기 분배 유로의 연장 방향으로 연장하는 갭이 형성된 가스 인젝터.
  12. 제 11 항에 있어서, 상기 분배 유로의 길이는 상기 우회 유로의 길이보다 큰 가스 인젝터.
  13. 제 11 항에 있어서, 상기 분배 유로는 상기 우회 유로의 출구로부터 멀어질수록 상기 분배 유로의 단면적은 증가하는 가스 인젝터.
  14. 삭제
  15. 삭제
  16. 제 11 항에 있어서, 상기 보상 플레이트는 석영 또는 금속을 포함하는 가스 인젝터.
  17. 공정 챔버의 중심축을 향하는 제1 방향으로 연장하며 가스 공급원으로부터의 공정 가스를 상기 공정 챔버 내로 도입하기 위한 제1 가스 도입관;
    상기 제1 가스 도입관으로부터 상기 제1 방향과 직교하는 제2 방향의 반대 방향으로 이격된 제2 가스 도입관;
    상기 제1 가스 도입관으로부터 상기 제2 방향으로 연장하는 제1 우회 유로;
    상기 제2 가스 도입관으로부터 상기 제2 방향의 반대 방향으로 연장하는 제2 우회 유로;
    상기 제1 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 제1 우회 유로의 출구로부터 상기 제2 방향의 반대 방향으로 연장하는 제1 분배 유로;
    상기 제2 우회 유로로부터 상기 제1 방향으로 이격 배치되며 상기 제2 우회 유로의 출구로부터 상기 제2 방향으로 연장하는 제2 분배 유로; 및
    상기 제1 및 제2 분배 유로들의 외측면에 상기 제1 및 제2 분배 유로들 각각의 연장 방향을 따라 이격 형성되고 상기 공정 가스를 분사하기 위한 복수 개의 분사구들을 포함하고,
    상기 제1 분배 유로 및 상기 제2 분배 유로는 차단 플레이트에 의해 서로 차단되는 가스 인젝터.
  18. 제 17 항에 있어서, 상기 제1 분배 유로의 길이는 상기 제2 분배 유로의 길이와 같거나 다른 가스 인젝터.
  19. 제 17 항에 있어서, 상기 제1 분배 유로 및 상기 제2 분배 유로는 서로 연통되는 가스 인젝터.
  20. 삭제
KR1020180047714A 2018-04-25 2018-04-25 가스 인젝터 및 웨이퍼 처리 장치 KR102474847B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020180047714A KR102474847B1 (ko) 2018-04-25 2018-04-25 가스 인젝터 및 웨이퍼 처리 장치
US16/212,036 US11021796B2 (en) 2018-04-25 2018-12-06 Gas injectors and wafer processing apparatuses having the same
CN201910091001.4A CN110400764A (zh) 2018-04-25 2019-01-30 气体注射器和具有其的晶圆处理设备

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180047714A KR102474847B1 (ko) 2018-04-25 2018-04-25 가스 인젝터 및 웨이퍼 처리 장치

Publications (2)

Publication Number Publication Date
KR20190123866A KR20190123866A (ko) 2019-11-04
KR102474847B1 true KR102474847B1 (ko) 2022-12-06

Family

ID=68290997

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180047714A KR102474847B1 (ko) 2018-04-25 2018-04-25 가스 인젝터 및 웨이퍼 처리 장치

Country Status (3)

Country Link
US (1) US11021796B2 (ko)
KR (1) KR102474847B1 (ko)
CN (1) CN110400764A (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102467433B1 (ko) * 2020-10-08 2022-11-16 에스케이실트론 주식회사 에피택셜 성장 장치
WO2023081008A1 (en) * 2021-11-03 2023-05-11 Applied Materials, Inc. Injection module for a process chamber
KR20240018059A (ko) * 2022-08-02 2024-02-13 주식회사 한화 원자층 증착 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200154507Y1 (ko) * 1995-12-30 1999-08-16 김영환 화학 기상 증착장비의 반응가스 주입장치
US6849241B2 (en) 2000-02-04 2005-02-01 Aixtron Ag. Device and method for depositing one or more layers on a substrate
JP2008285735A (ja) 2007-05-21 2008-11-27 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3024449B2 (ja) 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
JPH10144617A (ja) * 1996-11-11 1998-05-29 Sharp Corp 熱処理炉
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US20030164143A1 (en) 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
KR100427524B1 (ko) 2003-10-30 2004-04-28 주식회사 테라텍 리모트 플라즈마를 이용하는 배치형 애싱장치
JP4495471B2 (ja) 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
KR101025323B1 (ko) 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
JP4502198B2 (ja) 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
KR100712529B1 (ko) 2005-09-02 2007-04-30 삼성전자주식회사 플라즈마 어플리케이터의 인시츄 세정 방법 및 그 세정방법을 채용한 플라즈마 어플리케이터
KR20070070752A (ko) 2005-12-29 2007-07-04 삼성전자주식회사 반도체 소자 제조 장치 및 상기 장치의 챔버를 클리닝하는방법
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
JP2008088529A (ja) 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
JP5090097B2 (ja) 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
WO2010110309A1 (ja) 2009-03-26 2010-09-30 株式会社アルバック 真空処理方法及び真空処理装置
US8142521B2 (en) * 2010-03-29 2012-03-27 Stion Corporation Large scale MOCVD system for thin film photovoltaic devices
JP5703315B2 (ja) 2011-02-08 2015-04-15 株式会社アルバック ラジカルエッチング方法
JP5702657B2 (ja) * 2011-04-18 2015-04-15 東京エレクトロン株式会社 熱処理装置
WO2013171988A1 (ja) 2012-05-16 2013-11-21 株式会社アルバック 成膜方法及び成膜装置
US8455352B1 (en) 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
KR20140023807A (ko) 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
JP6113626B2 (ja) 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
US10351955B2 (en) * 2013-12-18 2019-07-16 Lam Research Corporation Semiconductor substrate processing apparatus including uniformity baffles
JP6320903B2 (ja) * 2014-11-19 2018-05-09 東京エレクトロン株式会社 ノズル及びこれを用いた基板処理装置
JP6515665B2 (ja) 2015-05-07 2019-05-22 東京エレクトロン株式会社 基板処理装置
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
JP6538582B2 (ja) 2016-02-15 2019-07-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200154507Y1 (ko) * 1995-12-30 1999-08-16 김영환 화학 기상 증착장비의 반응가스 주입장치
US6849241B2 (en) 2000-02-04 2005-02-01 Aixtron Ag. Device and method for depositing one or more layers on a substrate
JP2008285735A (ja) 2007-05-21 2008-11-27 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
KR20190123866A (ko) 2019-11-04
US11021796B2 (en) 2021-06-01
US20190330741A1 (en) 2019-10-31
CN110400764A (zh) 2019-11-01

Similar Documents

Publication Publication Date Title
US20230040728A1 (en) Substrate processing method and apparatus
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
KR102474847B1 (ko) 가스 인젝터 및 웨이퍼 처리 장치
KR100516844B1 (ko) 처리 장치 및 처리 방법
US10985029B2 (en) Substrate processing apparatus and substrate processing method
US20140023794A1 (en) Method And Apparatus For Low Temperature ALD Deposition
US20200087789A1 (en) Apparatus For Multi-Flow Precursor Dosage
US20080110399A1 (en) Atomic layer deposition apparatus
KR20080060241A (ko) 원거리 플라즈마 소스를 이용한 대면적 pecvd 장치용클리닝 방법
WO2017047686A1 (ja) ガス供給部、基板処理装置、及び半導体装置の製造方法
JP2007173848A (ja) 処理装置
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
KR100943431B1 (ko) 플라즈마 처리장치
US20240003010A1 (en) Backside deposition and local stress modulation for wafer bow compensation
Lee et al. The effect of hole density variation in the PECVD reactor showerhead on the deposition of amorphous carbon layer
KR102385545B1 (ko) 가스 인젝터를 갖는 웨이퍼 처리 장치
US20180258531A1 (en) Diffuser design for flowable cvd
KR102294204B1 (ko) 성막 장치
KR100444753B1 (ko) 반도체 소자 제조에 사용되는 증착 장치
WO2014057793A1 (ja) プラズマ処理方法、及びプラズマ処理装置
JP7271485B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US20160093512A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-Transitory Computer-Readable Recording Medium
US20220384184A1 (en) Method for manufacturing semiconductor device and substrate processing apparatus
KR20120066852A (ko) 박막 증착장치
KR20070074222A (ko) 샤워 헤드

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant