CN105088189A - 具有多孔挡板的低体积喷淋头 - Google Patents

具有多孔挡板的低体积喷淋头 Download PDF

Info

Publication number
CN105088189A
CN105088189A CN201510221479.6A CN201510221479A CN105088189A CN 105088189 A CN105088189 A CN 105088189A CN 201510221479 A CN201510221479 A CN 201510221479A CN 105088189 A CN105088189 A CN 105088189A
Authority
CN
China
Prior art keywords
spray header
volume
panel
baffle plate
boosted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510221479.6A
Other languages
English (en)
Other versions
CN105088189B (zh
Inventor
拉梅什·钱德拉塞卡拉
萨昂格鲁特·桑普朗
尚卡尔·斯娃米纳森
弗兰克·帕斯夸里
康胡
阿德里安·拉瓦伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105088189A publication Critical patent/CN105088189A/zh
Application granted granted Critical
Publication of CN105088189B publication Critical patent/CN105088189B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及具有多孔挡板的低体积喷淋头。披露了在半导体处理装置中的低体积喷淋头,其可包括多孔挡板以改善原子层沉积期间的流动均一性和清洗时间。该喷淋头可包括增压体积、与增压体积流体连通的一个或多个气体入口、包括多个第一通孔以在半导体处理装置内将气体分配到衬底上的面板以及位于增压体积和一个或多个气体入口之间的区域内的多孔挡板。一个或多个气体入口可包括具有小体积的茎状部以改善清洗时间。挡板可以是多孔的并位于茎状部和增压体积之间以改善流动均一性并避免喷射。

Description

具有多孔挡板的低体积喷淋头
关联申请的交叉引用
本申请要求2015年3月25日提交的题为“LOWVOLUMESHOWERHEADWITHPOROUSBAFFLE(具有多孔挡板的低体积喷淋头)”的美国专利申请No.14/668,511的优先权益,前述美国申请要求2014年5月5日提交的题为“ULTRALOWVOLUMESHOWERHEADFORATOMICLAYERDEPOSITION(用于原子层沉积的超低体积喷淋头)”的美国临时专利申请No.61/988,834的优先权益,这两篇美国专利申请均整体地并出于所有目的援引包含于此。
技术领域
本公开总体涉及在半导体处理装置中分配气体的喷淋头。本公开的某些方面涉及用于在原子层沉积处理中分配气体的具有多孔挡板的低体积喷淋头。
背景技术
半导体处理工具经常包括被设计成跨半导体衬底或晶片以相对均匀的方式分配处理气体的部件。这些部件在业内通常被称为“喷淋头”。喷淋头一般包括在某种类型的增压体积前面的面板。面板可包括多个通孔,这些通孔允许增压体积内的气体流过面板并流入衬底和面板之间(或支承晶片的晶片支承件和面板之间)的反应空间。通孔一般被布置成使得跨晶片的气体分配导致基本均一的衬底处理。
发明内容
本公开涉及用于半导体处理装置中的喷淋头。所述喷淋头包括具有第一表面和与第一表面相对的第二表面的增压体积,所述第一表面和第二表面至少部分地限定喷淋头的增压体积。喷淋头还包括:与增压体积流体连通的一个或多个气体入口;包括多个第一通孔的面板;以及包括多个第二通孔的挡板。多个第一通孔从面板的第一侧延伸至面板的第二侧,其中面板的第一侧限定增压体积的第一表面。挡板包括多个第二通孔,其中挡板位于增压体积和一个或多个气体入口之间的区域内。
在一些实施方式中,面板的直径比挡板的直径至少大四倍。在一些实施方式中,喷淋头的体积在大约50毫升和大约500毫升之间。在一些实施方式中,挡板的孔隙率在大约5%和大约25%之间。在一些实施方式中,相比挡板的中央,多个第二通孔位置更朝向挡板的边缘。在一些实施方式中,喷淋头进一步包括与面板相对的后板,其中后板的一侧限定增压体积的第二表面,并且其中增压体积和一个或多个气体入口之间的区域凹进后板的限定增压体积的第二表面的一侧。
本公开还涉及包括前述喷淋头的半导体处理站。所述半导体处理站包括控制器,该控制器配置有指令以执行下列操作:将衬底提供入半导体处理站;通过喷淋头将反应气体引入半导体处理站以使其吸附到衬底的表面上;通过喷淋头将清洗气体引入到半导体处理站内;以及施加等离子体以从衬底表面上吸附的反应气体形成薄膜层。
在一些实施方式中,薄膜层的膜不均一性小于大约0.5%。在一些实施方式中,膜不均一性与和引入气体反应物、引入清洗气体和施加等离子体中的一者或多者关联的一个或多个处理参数解除联系。在一些实施方式中,在原子层沉积(ALD)循环中形成薄膜层是在小于约1.5秒的时间内执行的。
本公开也涉及包括前面提到的半导体处理站的半导体处理工具。在一些实施方式中,半导体处理工具包括步进式光刻机(stepper)。
附图说明
图1示出具有挡板的示例性喷淋头的等距剖视图。
图2示出具有多孔挡板的示例性低体积喷淋头的等距剖视图。
图3示出图2的低体积喷淋头中的多孔挡板的放大的等距剖视图。
图4示出两个示例性喷淋头的侧剖视图的并排比较。
图5示出低体积喷淋头中的面板和多孔挡板的通孔布置的示例性布局。
图6A示出包括挡板的示例性喷淋头的一部分的侧剖视图,其中箭头指示喷淋头内的名义气体流动方向。
图6B示出包括多孔挡板的示例性低体积喷淋头的一部分的侧剖视图,其中箭头指示低体积喷淋头内的名义气体流动方向。
图7A示出喷淋头内的示例性挡板的等距图。
图7B示出喷淋头内包括多个通孔的示例性挡板的等距图。
图8示出描绘来自喷淋头的面板的气体的轴向流速因变于面板的径向尺寸的曲线图。
图9示出描绘两个喷淋头的原子层沉积的不均一性的百分比的曲线图。
图10示出可包括具有多孔挡板的低体积喷淋头的多站处理工具的示意图。
具体实施方式
在下面的描述中,阐述了多个具体细节以提供对所提出的理念的透彻理解。所提出的理念没有这些具体细节中的一些或全部也可以实施。在其它情况下,尚未详细地描述公知的处理操作以避免不必要地模糊所描述的理念。尽管将结合具体实施例描述一些理念,但将理解这些实施例不旨在构成限制。
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”是可互换使用的。本领域内普通技术人员将理解,术语“部分制造的集成电路”可指代在其上的多个阶段集成电路制造中的任何阶段期间的硅晶片。用于半导体器件产业中的晶片或衬底典型地具有200mm或300mm或450mm的直径。下面的详细描述假设本发明被实现在晶片上。然而,本发明并不仅限于此。工件可以是多种形状、尺寸和材料的。除了半导体晶片,可从本发明获益的其它工件可包括多种物品,例如印刷电路板、磁记录介质、磁记录传感器、镜、光学元件、微机械器件等等。
在本公开的一些附图和描述中已采纳了若干惯例。例如,在多处引述“体积”,例如“增压体积”。这些体积一般在各附图中指出,但要理解这些附图和伴随的数值标记表示这些体积的近似值并且实际体积可例如延伸至对体积构成约束的多个固体表面。多个较小体积——例如导向通过增压体积的否则为实心的边界表面的气体入口或其它孔——可流体连接至增压体积。
要理解,例如“之上”、“在……顶部”、“之下”、“下面”等相对术语的使用被理解为指代一些部件相对于喷淋头正常使用期间这些部件的取向的空间关系。换句话说,喷淋头被取向以在衬底处理操作期间朝向衬底向下分配气体。
引言
在用于半导体处理中的多种沉积技术之中,一种特定的沉积技术可包括原子层沉积(ALD)。相比其中使用热活化气相反应来沉积薄膜的化学气相沉积(CVD)处理,ALD处理使用表面介导的沉积反应以在逐层基础上沉积薄膜。在一示例性ALD处理中,包括成群的表面活化位点的衬底表面暴露于第一薄膜前体(P1)的气相分布。P1的一些分子可在衬底表面顶上形成凝聚相,所述衬底表面包括化学吸附的物种和物理吸附的P1分子。反应器随后被排空以去除气相和物理吸附的P1,由此仅剩下化学吸附的物种。随后将第二薄膜前体(P2)引入到反应器,以使P2的一些分子吸附至衬底表面。反应器可再次被排空,这次去除无约束的P2。接着,被提供至衬底的能量激活所吸附的P1分子和P2分子之间的表面反应,由此形成薄膜层。最后,反应器被排空以去除反应副产品和可能未反应的P1和P2,由此结束ALD循环。可包括额外的ALD循环以建立膜厚度。
根据前体投配步骤的暴露时间以及前体的粘附因数,每个ALD循环可沉积一薄膜层,该薄膜层在一个例子中厚度在1/2和3埃之间。每个ALD循环可持续大约5秒或更短时间、大约3秒或更短时间或大约2秒或更短时间。
共形膜沉积(CFD)是一种沉积技术,与ALD技术的相似点在于:在多个循环上执行沉积,其中每个循环利用少量的反应物或前体。典型地,产生CFD膜的表面反应是通过使表面吸附的反应物暴露于等离子体、紫外光辐射或类似源而被激活的。在一些情形下,在可包括若干CFD循环的沉积处理期间一种反应物连续地流过。不像ALD处理,许多CFD处理可允许两种或更多种反应物以气相形式共存于室内。在CFD中,ALD处理中描述的一个或多个处理步骤在示例性CFD处理中可被缩短或省去。使用CFD形成薄膜的方法记载在2011年4月11日提交的美国专利申请No.13/084,399中,并且该文献出于所有目的援引包含于此。作为背景,提供了对CFD的简短描述。
总体来说,CFD循环是可对表面沉积反应执行的操作的最小集。一个循环的结果是在衬底表面上产生至少部分薄膜层。典型地,CFD循环将仅包括传递每种反应物并使之吸附至衬底表面并随后使那些吸附的反应物起反应以形成部分薄膜层所需的那些步骤。当然,循环可包括某些辅助步骤,例如在沉积时扫除一种或多种反应物或副产品和/或处理部分薄膜。总体来说,循环仅包含唯一操作顺序的一个例子。例如,循环可包括下列操作:(i)反应物A的传递/吸附;(ii)反应物B的传递/吸附;(iii)使用清洗气体将B扫除出反应室;以及(iv)施加等离子体以促成A和B的表面反应以在表面上形成部分薄膜层。在一些实施方式中,这些步骤可表征为投配步骤、清洗步骤和等离子体步骤。在一些实施方式中,可在循环中引入后等离子体清洗步骤以进一步清洗。
一些实施方式可使用不同的处理顺序。一种可能的处理包括下列操作顺序:1)连续地使辅助反应物流过;2)提供含硅反应物或其它基本反应物配料;3)清洗1;4)使衬底暴露于RF等离子体;5)清洗2。另一替代处理包括下列操作顺序:1)连续地使惰性气体流过;2)提供含硅反应物或其它基本反应物配料;3)清洗1;4)在提供氧化物或其它辅助反应物配料的同时使衬底暴露于RF等离子体;5)清洗2。
总体来说,“扫除”或“清洗”阶段构思成从反应室去除或清洗气相反应物并典型地仅在该反应物传递完成之后进行。换句话说,反应物在清洗阶段期间不再被传递至反应室。然而,反应物在清洗阶段期间仍然吸附在衬底表面上。典型地,清洗用来在反应物吸附在衬底表面上达到要求水平之后去除室内的任何残留的气相反应物。清洗阶段也可从衬底表面去除弱吸附的物种(例如某些前体配体或反应副产品)。
在半导体处理设备中,喷淋头经常用来以理想方式(例如以均匀分布方式)跨半导体衬底地分配处理气体。喷淋头典型地包括由面板约束的增压结构,所述面板具有引至喷淋头外侧的多个气体分配孔。面板典型地面向在半导体处理室或反应室内的衬底反应区,并且衬底一般在半导体处理室内被布置在面板下面,例如在将晶片支承在面板下面的一个位置的晶片支承件或底座上。
薄膜在ALD中以自限方式和自饱和方式在衬底表面上生长。换句话说,前体被传递并以自限方式与表面反应,由此一旦表面上的所有反应位点被消耗则反应终止。这意味着ALD处理中的特定步骤已达到饱和。典型地,当达到完全饱和时薄膜均一性不是问题。然而,许多ALD处理更经济地运作并要求某一产量阈值。结果,为了取得要求的产量,并非ALD中的所有步骤达到完全饱和,因此ALD处理中的完全饱和可能是产量抑制性的。作为示例,ALD处理可达到大约70%和大约99%之间的饱和以取得要求的产量。如本文中使用的,ALD处理可包括CFD处理并可与之互换地使用。
由此,以薄膜均一性为代价可取得较高的产量,并以产量为代价可取得较高的薄膜均一性。然而,本公开的喷淋头可被设计成提高薄膜均一性和产量。在一些实施方式中,喷淋头可被设计成在ALD处理中利于处理气体或清洗气体的传递。在ALD处理中,对在气相下反应物传递的流动均一性的改善能够改善沉积薄膜的均一性,尤其是在投配和等离子体步骤期间。另外,清洗时间的改善能够提高清洗步骤的效率,由此增加ALD处理的产量。
可通过减少喷淋头的体积而获得提高产量的喷淋头。增压体积和茎状部体积可被降低或最小化以减少在清洗步骤期间完成前体的清洗的清洗时间。减小的体积增加了背压以使清洗气体能快速和有效地传递入反应室。然而,减小喷淋头的体积一般可能危及沉积薄膜的膜均一性。获得跨喷淋头的面板的空间上均一的流动在低体积喷淋头中可能是困难的。跨面板的空间上不均一流动可能导致所沉积的薄膜中的厚度不均一性。如前面提到的,在一些ALD处理中,ALD处理中的沉积循环可缩短并可能不允许达到完全饱和。因此,跨面板的空间上不均一流动可能不利地影响沉积薄膜的膜均一性和膜性质。
具有多孔挡板的低体积喷淋头
本公开提供具有减小的体积但不会遭受空间上不均一流动的不利影响的喷淋头。这种低体积喷淋头可包括凹进茎状部体积和增压体积之间的区域的多孔挡板。低体积喷淋头可指代具有大约等于或小于500毫升的总体积的喷淋头。在一些实施方式中,低体积喷淋头可具有在大约50毫升和大约500毫升之间的体积。传统喷淋头可能具有大于500毫升的体积,尤其是在ALD应用中。
总体而言,存在两种主要类型的喷淋头:吊灯式和嵌入安装式。吊灯式喷淋头在一端具有附连至室顶部的茎状部而在另一端具有面板或后板。茎状部的一部分可从室顶部突出以连接气体管线和RF功率。嵌入安装式喷淋头被整合到室顶部内并典型地不具有茎状部。尽管所描绘的附图一般指吊灯式喷淋头,但应当理解本公开也可应用于嵌入安装式喷淋头。
图1示出具有挡板110的示例性喷淋头100的等距剖视图。图1中的喷淋头100可具有大于500毫升的体积并包括非多孔挡板110。如图1所示,喷淋头100包括后板102和面板104,其中后板102和面板104可以是分立的机械部件或集成在单个本体内。后板102和面板104可彼此相对地定位。面板104可具有多个气体分配孔或通孔132以利于将气体传递至衬底。在后板102和面板104之间可限定增压体积130,其中该增压体积130可具有第一表面和与第一表面相对的第二表面。在一些实施方式中,增压体积130的第一表面和第二表面可具有圆周表面。第一表面和第二表面能至少部分地限定喷淋头100的增压体积130。面板104的第一侧能限定增压体积130的第一表面。后板102的第二侧能限定增压体积130的第二表面。在一些实施方式中,如图1所示,增压体积130可沿增压体积130的第二表面基本呈圆锥形状。
增压体积130可经由一个或多个气体入口120被提供以气体,例如反应气体或清洗气体。图1中的气体入口120可连接至用于传递气体的一个或多个气体供给件。气体入口120可包括茎状部122,其中茎状部122可包括与狭窄管124连接的扩大管126。扩大管126可具有大于狭窄管124直径的直径,从而一旦到达增压体积130则提供更多空间分布的流动。
喷淋头100可进一步包括凹进增压体积130内的挡板110。挡板110可以是被安装在增压体积130内的实心结构或非多孔结构以遍及增压体积130向外地并朝向面板104的边缘引导气体。挡板110可被安装在离气体入口120某一距离处,以允许气体分布在增压体积130内。此外,在第二表面上的增压体积130可以是圆锥形的,以提供气体入口120和挡板110之间的更多空间。在一些实施方式中,挡板110可以是圆形的并具有大于扩大管126直径的直径。通过引导气体遍及增压体积130地向外流动,可获得更高的流动均一性。另外,挡板110可基本居中在气体入口120上以避免或以其它方式最小化通过面板104中央喷射的气体流动。
图2示出具有多孔挡板210的示例性低体积喷淋头200的等距剖视图。多孔挡板210也可被称为多孔阻挡板。图2中的低体积喷淋头200可具有在大约50毫升和大约500毫升之间的体积并包括多孔挡板210。在一些实施方式中,低体积喷淋头200可具有在大约100毫升和大约300毫升之间的体积。低体积喷淋头200包括后板202和面板204,其中后板202和面板204可以是分立的机械部件或整合在单个本体内。后板202和面板204可彼此相对地定位。在一些实施方式中,后板202和面板204中的每一个可以是圆柱形状的。面板204可具有多个通孔232以利于将气体传递至衬底。在一些实施方式中,面板204的尺寸(例如直径)可对应于或基本对应于被处理的衬底的尺寸。通孔232可从面板204的第一侧至第二侧地延伸通过面板204。在后板202和面板204之间可限定增压体积230,其中增压体积230可具有第一表面和与第一表面相对的第二表面。在一些实施方式中,增压体积230的第一表面和第二表面可具有圆周表面。该第一表面和第二表面可至少部分地限定低体积喷淋头200的增压体积230。面板204的第一侧可限定增压体积230的第一表面。后板202的第二侧可限定增压体积230的第二表面。在一些实施方式中,如图2所示,增压体积230可以是圆柱形状或基本圆柱形状。这能够减小喷淋头的总内部体积,因为图2中的增压体积230相比图1中的增压体积130具有减小的体积。
增压体积230可经由一个或多个气体入口220被提供以气体,例如反应气体或清洗气体。图2的气体入口220可连接至用于传递气体的一个或多个气体供给件。气体入口220可包括茎状部222,其中茎状部222可包括狭窄管224。茎状部222可与增压体积230流体连通。在一些实施方式中,茎状部222的体积可以在大约1毫升和大约50毫升之间。由于图2中的狭窄管224具有比图1中的扩大管126更小的直径,因此设置茎状部222的作为整体的狭窄管224也能减小喷淋头的总内部体积。
低体积喷淋头200可进一步在增压体积230和气体入口220之间的区域235中包括多孔挡板210。图3示出图2的低体积喷淋头200中的多孔挡板210的放大的等距剖视图。在一些实施方式中,多孔挡板210可凹进区域235,其中多孔挡板210可被安装在离气体入口220某一距离处并在增压体积230之上。尽管多孔挡板210可被定位在区域235内,然而要理解在一些其它实施方式中多孔挡板210可被定位在增压体积230内。因此,多孔挡板210可被安装在离气体入口220某一距离处,所述气体入口220延伸通过区域235。区域235可以是后板202的凹进体积。该区域为气体入口220和增压体积230之间的气体流动提供过渡面积。在一些实施方式中,区域235可凹进后板202的第二侧,其中后板202的第二侧限定增压体积230的第二表面。在一些实施方式中,茎状部222、区域235和增压体积230中的每一个限定圆柱形体积,其中增压体积230的直径大于区域235的直径并且区域235的直径大于茎状部222的直径。
尽管理解了多孔挡板210可表征为位于增压体积230和气体入口220之间的区域235内,但本领域内技术人员也应当理解区域235可视为气体入口220的一部分并且多孔挡板210可位于气体入口220内。然而,多孔挡板210可具有允许气体从中流过的孔隙率,而不是在定位于气体入口220内的同时阻挡气体流动。
挡板210可以是选择性地多孔,其中挡板210的孔隙率可以在大约5%和大约25%之间。在一些实施方式中,挡板210可包括多孔材料或由多孔材料制成。多孔材料的例子可包括多孔铝、多孔氧化铝和多孔石英。挡板210可由任何适宜的材料制成,包括但不限于铝、氧化铝、石英和不锈钢。材料可相容于远程清洗并可以是钝化并且不容易与氨/氟基起反应的材料。在一些实施方式中,挡板210可包括延伸通过挡板210的多个通孔212。通孔212可通过挡板210的材料提供以有效地模拟和模仿孔隙率。在一些实施方式中,挡板210可以是圆形的并具有比茎状部222直径更大的直径。然而,在一些实施方式中,挡板210显著地小于面板204。例如,面板204的直径比挡板210的直径大出至少四倍,或者比挡板210的直径大出至少十倍。另外,挡板210可具有比区域235的直径更小的直径。因此,不仅可将气体流动通过通孔212引导,而且可遍及增压体积230地朝向面板204的边缘向外引导。通过通孔212并遍及增压体积230向外地引导气体流动,可获得空间上更加均一的气体流动,尽管相比图1的喷淋头100降低了喷淋头200的总内部体积。此外,挡板210可基本居中在气体入口220上以使挡板210的位置和挡板210的孔隙率能够最小化喷射通过面板204中央的气体的影响。在一些实施方式中,挡板210可基本平行于增压体积230的第一表面和第二表面。
图4示出两个示例性喷淋头400a、400b的侧剖视图的并排比较。传统喷淋头400a示出在左侧而本公开的低体积喷淋头400b示出在右侧。传统喷淋头400a可对应于图1中的喷淋头100,而低体积喷淋头400b可对应于图2的低体积喷淋头200。
每个喷淋头400a、400b包括后板402和与后板402相对的面板404。传统喷淋头400a的后板402a和面板404a至少部分地限定增压体积430a,其中增压体积430a既包括圆柱形部分又包括在圆柱形部分上方的圆锥形部分。低体积喷淋头400b的后板402b和面板404b至少部分地限定增压体积430b,其中增压体积430b包括圆柱形部分。每个喷淋头400a、400b也包括茎状部422a、422b,气体通过茎状部422a、422b被传入增压体积430a、430b。传统喷淋头400a中的茎状部422a包括狭窄管424a和扩大管426a,而低体积喷淋头400b中的茎状部422b包括狭窄管424b。因此,由于较大的茎状部直径和较大的增压结构高度,传统喷淋头400a可具有比低体积喷淋头400b明显更大的体积。传统喷淋头400a中的较大体积可导致针对增压体积430a中的气体流动的再循环区。传统喷淋头400a中的较大体积也可能导致更长的清洗时间和增加的过渡时间,由此导致降低的产量。
另外,喷淋头400a、400b包括挡板410a、410b,其中传统喷淋头400a包括大的、非多孔挡板410a,而低体积喷淋头400b包括小的、多孔挡板410b。在一些实施方式中,小的、多孔挡板410b凹进增压体积430b和茎状部422b之间的区域435b内。在一些实施方式中,区域435b可构成茎状部422b的延伸段,其中区域435b具有比狭窄管424b更大的直径。在这些实施方式中,小的、多孔挡板410b可被视为在茎状部422b之内。在一些实施方式中,区域435b可充当扩散区,其中扩散区可以是圆锥形的或圆柱形的。小的、多孔挡板410b相比大的、非多孔挡板410a可增加通过面板404中央的通量。在一些实施方式中,小的、多孔挡板410b中的孔数和孔配置能够提供通过面板404b的空间上更为均一的气体流动。在一些实施方式中,面板404b中的孔数和孔配置也可能影响通过面板404b的气体流动的空间上均一性。例如,面板404b中减少的孔数可增加跨面板404b的压降以更向外地朝向面板404b的边缘推动气体流动。
表1示出传统喷淋头400a和低体积喷淋头400b之间的特征和值的比较。
表1
本公开的低体积喷淋头400b可具有小于约700毫升、或者在大约50毫升和大约500毫升之间或者在大约100毫升和大约300毫升之间的总内部体积。在表1中,本公开的低体积喷淋头400b将传统喷淋头400a的总内部体积从742.7毫升减小至256.4毫升,这代表65%的体积减小。传统喷淋头400a中的增压结构高度在低体积喷淋头400b中从0.25英寸减小至0.125英寸。传统喷淋头400a中的增压结构形状可以是基本圆锥形的,或至少包括基本圆锥形部分和基本圆柱形部分的组合。基本圆锥形部分的圆锥发散度可以大于约90度,或者大于约120度。低体积喷淋头400b中的增压结构形状可以是圆柱形或基本圆柱形。传统喷淋头400a中的茎状部直径在低体积喷淋头400b中可以从1.21英寸的直径减小至大约0.125英寸和更高的直径。在一些实施方式中,这可减少清洗时间并提高半导体应用(例如对于ALD应用)的产量。在一些实施方式中,低体积喷淋头400b中的茎状部直径在过渡区域435b中可从较小直径过渡至较大直径,其中较大直径可以是大约1.21英寸或更小尺寸。
在一些实施方式中,面板404a、404b中的通孔数目可能影响到跨面板404a、404b的流动均一性。当减小喷淋头的内部体积时,提供跨面板的流动的更均一分布可能需要使增压体积和处理室之间的压降增加。总体来说,气体沿最小阻力的路径流动,因此如果低体积喷淋头400b中的面板404b具有低压降,则气体流动将通过面板404b的中央喷射。然而,较高的压降将更向外地朝向面板404b的边缘推动气体流动。为了促成较高的压降,可减少面板404b中的通孔的数目以伴随从传统喷淋头400a至低体积喷淋头400b的内部体积减小。否则,如果在面板404b中存在过多数量的通孔,则压降可能过低并且跨面板404b从中央至边缘的通量将不是均一的。在一些实施方式中,低体积喷淋头400b中的面板404b中的通孔数可在大约1000个通孔和大约3000个通孔之间,或者在大约1500个通孔和大约2500个通孔之间。例如,在表1中,传统喷淋头400a可从3292个通孔减少至低体积喷淋头400b中的2257个通孔。
对于通过低体积喷淋头400b的气体的给定流率,面板404b中的通孔数目可取得特定的压降并由此提供跨面板404的特定的流动分布。如果气体流率低,则需要较少的通孔以取得跨面板404b的要求的流动均一性。
在一些实施方式中,面板404a、404b中的通孔布置也可能影响跨面板404a、404b的流动均一性。在一些实施方式中,通孔的几何布置可以是六边形。例如,传统喷淋头400a可具有带通孔的六边形布置的面板404a。在一些实施方式中,通孔的几何布置可以是三角形。例如,低体积喷淋头400b可具有带通孔的三角形布置的面板404b。
传统喷淋头400a可包括大的、非多孔挡板410a,其居中在茎状部422a之下以避免或以其它方式最小化通过面板404a的中央喷射的影响。大的、非多孔挡板410a可具有2.13英寸的直径。在传统喷淋头400a中,非多孔挡板410a的直径可大于扩大管426a的直径。然而,为了充分的流动均一性,可增加增压体积430a的体积以适应在茎状部422a之下的大的、非多孔挡板410a。可通过增压体积430a的圆锥部分提供增加的体积以使气体流动可向外地分配。后板402a可向后倾斜以提供增压体积430a的圆锥部分。
相比而言,本公开的低体积喷淋头400b可包括小的、多孔挡板410b,该挡板410b居中在茎状部422b之下以避免或以其它方式最小化通过面板404b中央的喷射影响。在一些实施方式中,小的、多孔挡板410b可以明显比大的、非多孔挡板410a更小。小的、多孔挡板410b可具有在大约0.1英寸和大约2.0英寸之间的直径。例如,小的、多孔挡板410b可具有0.79英寸的直径。面板404b的直径可显著大于小的、多孔挡板410b的直径。例如,面板404b的直径可以是13英寸。在一些实施方式中,面板404b的直径可以比小的、多孔挡板410b的直径大出至少四倍,或者比小的、多孔挡板410b的直径大出至少十倍。
典型地,从传统喷淋头400a至低体积喷淋头400b的内部体积减小造成“体积不利影响”,其中减小的内部体积通过减小跨面板404b的流动均一性而不利地影响流动均一性。为了避免低体积喷淋头400b中的这种体积不利影响,本公开能提供一种小的、多孔挡板410b,其中小的、多孔挡板410b能位于增压体积430b和茎状部422b之间的区域435b内。小的、多孔挡板410b能位于增压体积430b之上而不阻挡气体流动。相反,为了提高流动均一性,小的、多孔挡板410b可位于区域435b内,其中小的、多孔挡板410b的直径以及小的、多孔挡板410b中的通孔的尺寸、数目和布置能引导气体流动进入增压体积430b,由此影响跨面板404b的流动均一性。另外,面板404b中的通孔的尺寸、数目和布置可被配置成取得跨面板404b的较高压降并获得要求的流动均一性。例如,小的、多孔挡板410b中的通孔的直径可以在大约0.01英寸和大约0.15英寸之间,例如大约0.08英寸。如图5和图7B所示,小的、多孔挡板410b可包括以六边形环布置的六个孔。六个孔相比小的、多孔挡板410b的中央更靠近地朝向小的、多孔挡板410b的边缘定位。面板404b中的通孔的直径可以在大约0.01英寸和大约0.10英寸之间,例如0.04英寸。如图5所示,面板404b可包括被布置成多个三角形图案的2000个以上的孔。
图5示出低体积喷淋头中的面板的通孔532、552和多孔挡板的通孔512的布置的示例性布局。传统喷淋头中的面板内的通孔532可形成六边形布置550,并且通孔552可被添加至低体积喷淋头中的通孔532以形成三角形布置560。多孔挡板中的通孔512可位于面板的通孔532之上。多孔挡板中的通孔512的布置和面板中的通孔532、552的布置能够影响跨面板的流动的均一性。
图6A示出包括挡板610a的示例性喷淋头的一部分的侧剖视图,其中箭头640a指示喷淋头内的名义气体流动方向。图6B示出包括多孔挡板610b的示例性低体积喷淋头的一部分的侧剖视图,其中箭头640b指示低体积喷淋头内的名义气体流动方向。来自气体入口620a的气体流动的流动矢量640a可由图6A中的箭头指示,而来自气体入口620b的气体流动的流动矢量640b可由图6B中的箭头指示。挡板610a、610b的位置、尺寸和孔隙率能够影响通过面板604a、604b的通孔632a、632b的流动矢量640a、640b。挡板610b中的通孔612b的尺寸、布置和数量能够影响通过面板604b的通孔632b的流动矢量640b。在图6A中,挡板610a能够向外地朝向面板604a的边缘引导流动矢量640a。然而,在图6B中,多孔挡板610b能够向外地朝向面板604b的边缘和面板604b的中央引导流动矢量640b,由此导致朝向面板604b中央的通量增加。在ALD应用中,这可导致在衬底中央较高的配料浓度。
图7A示出传统喷淋头700a中的示例性挡板710a的等距图。该传统喷淋头700a包括后板702a和气体入口720a,该气体入口720a通过后板702a流体地耦合至传统喷淋头700a的增压体积。挡板710a可凹进增压体积内,其中挡板710a可经由一个或多个内部支承柱714a从后板702a的一侧安装。
图7B示出低体积喷淋头700b中包括多个通孔712b的示例性挡板710b的等距图。低体积喷淋头700b包括后板702b和气体入口720b,该气体入口720b通过后板702b流体地耦合至低体积喷淋头700b的增压体积。在后板702b和气体入口720b之间的界面处,囊穴或过渡区域735b被设置在增压体积和气体入口720b之间。在一些实施方式中,挡板710b可凹进过渡区域735b内或从过渡区域735b伸出,其中挡板710b可经由一个或多个内部支承柱714b从过渡区域735b安装。挡板710b可包括多个通孔712b。在一些实施方式中,多个通孔712b可有选择地相比挡板710b的中央更加朝向挡板710b的边缘布置。在一些实施方式中,挡板710b的孔隙率可以在大约5%和大约25%之间,例如大约10%。在一些实施方式中,挡板710b可由多孔材料制成或者挡板710b可由贯穿其中地设有通孔712b的实心材料制成。在一些实施方式中,挡板710b的通孔712b可被布置成六边形图案。
图8示出描绘来自喷淋头的面板的气体的轴向流动速度因变于面板的径向尺寸的曲线图。在离喷淋头的面板1mm的位置测得的轴向流动速度能够反映来自喷淋头的气体流动的不均一性,其中轴向流动速度从面板中央至面板边缘地用图形描绘。在5标准升/分钟(slm)的氧和6托的压力下,没有挡板的喷淋头在面板中央附近表现出极快的轴向流动速度并在面板中央附近几毫米之内表现出极慢的轴向流动速度。没有挡板的话,从面板中央至面板边缘的流动均一性非常糟糕。在5slm的氧和6托的压力下,具有非多孔挡板的喷淋头在面板的中央周围表现出非常低的轴向流动速度并且朝向面板的边缘越靠近则轴向流动速度增加。使用非多孔挡板,从面板的中央至面板边缘的流动均一性糟糕。使用距离喷淋头的表面2.5mm远并包括每个直径0.08英寸的六个通孔的多孔挡板,从面板中央至面板边缘的轴向流动速度相对均一。多孔挡板可具有2cm的直径和1mm的厚度,并且六个通孔可相隔1cm地居中。
图9示出描绘了两个喷淋头的原子层沉积的膜不均一性的百分比的曲线图。膜不均一性可通过下列方式计算出:取沉积膜的最厚部分和最薄部分之间的差,并除以将沉积膜的厚度的平均值的两倍的值:%不均一性=(最大值–最小值)/(2*平均值)。在图9中,传统喷淋头可产生大约0.5%的不均一性,而本公开的低体积喷淋头可得出大约0.2%的不均一性。由此,通过设计本公开的低体积喷淋头,可在ALD处理中显著地提高膜均一性。
本公开的低体积喷淋头可提供一种硬件配置,这种硬件配置能获得膜均一性而无需通过调整各个处理步骤或处理旋钮作出补偿。换句话说,通过提供旨在改善膜均一性的低体积喷淋头,膜均一性可与处理参数解除联系。结果,诸如湿式蚀刻率和干式蚀刻率的膜性质可与膜均一性解除联系。附加的膜性质可包括介电常数、折射率、湿式蚀刻率、干式蚀刻率、光学性质、孔隙率、密度、组成、硬度和模量、抗蚀剂剥离和灰化比、化学机械平整化去除率及其它。
典型地,获得要求水平的膜均一性可通过调整多种处理参数来达成。在一些实施方式中,可调节诸如流率、投配时间、清洗时间、射频(RF)功率、RF导通时间的处理参数以及其它处理参数来取得要求的膜均一性。作为示例,可通过增加每个ALD循环的处理时间以提供更大的饱和,从而提高膜均一性。然而,产量将会降低。又如,可通过使更多前体(例如配料增加)流过而提高膜均一性。然而,增加前体配料可能导致增加的化学成本、对膜的化学计量的不利影响以及膜性质(例如湿式蚀刻率和干式蚀刻率)不合乎需要的改变。因此,获得要求水平的膜均一性的典型途径可能不合乎需要地影响产量和膜性质。
表2针对膜均一性(中央厚度)和膜性质(湿式蚀刻率和干式蚀刻率)将本公开的低体积喷淋头与配料增加、RF功率和RF导通时间这些处理参数进行比较。
表2
中央厚度 湿式蚀刻率 干式蚀刻率
低体积喷淋头 增加 无影响 无影响
配料增加 增加 增加 增加
RF功率 减少 无影响 减少
RF导通时间 减少 减少 无影响
如表2所示,本公开的低体积喷淋头增加了沉积膜的中央厚度而不影响沉积膜的湿式蚀刻率和干式蚀刻率。然而,调整诸如配料水平、RF功率和RF导通时间的处理参数并未使膜均一性与膜性质解除联系。增加配料增加了沉积膜的湿式蚀刻率和干式蚀刻率。减少RF功率降低了沉积膜的干式蚀刻率,而减少RF导通时间降低了沉积膜的湿式蚀刻率。因此,提供低体积喷淋头能够为半导体处理提供更宽的处理窗,同时获得要求程度的膜均一性而不必微调诸如流率、投配时间、清洗时间等处理参数以获得要求水平的膜均一性。在一些实施方式中,低体积喷淋头能取得小于约1.0%的膜不均一性,例如小于约0.5%或小于约0.3%。在一些实施方式中,可通过1.5秒或更短时间的ALD循环取得小于约1.0%的膜不均一性。例如,投配时间可以是0.4秒或更短时间,清洗时间可以是0.4秒或更短时间,而等离子体步骤可以是0.4秒或更短时间,且等离子体后清洗步骤可以是0.15秒或更短时间。相比而言,传统喷淋头中的ALD循环可能每循环大于约1.5秒,其中投配时间为0.6秒或更长时间,清洗时间为0.4秒或更多时间,等离子体步骤是0.4秒或更多时间且等离子体后清洗步骤是0.15秒或更多时间。通过在获得要求水平的膜均一性的同时减少ALD循环的总时间,低体积喷淋头可增加产量。此外,低体积喷淋头能获得要求水平的膜均一性而不影响诸如湿式蚀刻率和干式蚀刻率之类的其它膜性质。
本公开的低体积喷淋头可被安装在半导体处理室内。处理室可包括安装至室壳体顶部的低体积喷淋头。衬底支承件可将半导体衬底支承在处理室内并在低体积喷淋头之下。可在衬底支承件和低体积喷淋头之间形成微体积。微体积可充当衬底反应区并在处理期间可帮助将处理气体聚集和保持在半导体衬底附近。衬底支承件可被配置成向上和向下移动以利于装载和卸载操作。在一些实施方式中,低体积喷淋头可通过茎状部从处理室的盖悬空并自身不可能形成处理室的“盖”的一部分。在这些实施方式中,低体积喷淋头可被配置成向上和向下移动以利于衬底装载和卸载操作。
在一些实施方式中,一个或多个处理室也可被提供作为多站半导体处理中的处理站。在一些实施方式中,单个处理室可包括多个处理站,这些处理站中的一些或全部可具有其本身的低体积喷淋头组件。
图10示出可包括带多孔挡板的低体积喷淋头的多站处理工具的示意图。多站处理工具1000可包括入站装载锁定件1002和出站装载锁定件1004。机械臂1006在大气压下可被配置成将衬底经由大气端口1010从通过吊舱1008装载的匣移入入站装载锁定件1002。衬底可通过机械臂1006放置在入站装载锁定件1002内的底座1012上,大气端口1010可被关闭,并且装载锁定件可随后被向下泵吸。如果入站装载锁定件1002包括远程等离子体源,则衬底可在被引入到处理室1014之前在装载锁定件内暴露于远程等离子体处理。此外,衬底也可例如在入站装载锁定件1002中被加热以去除湿气和吸附的气体。接着,至处理室1014的室传输端口1016可开启,并且另一机械臂(未示出)可将衬底放置在处理室1014内的第一站(图示在反应器内)的底座上以供处理。尽管图10中描述的实施方式包括装载锁定件,然而要理解在一些实施方式中,可提供衬底进入处理站的直接入口。
所描绘的处理室1014包括四个处理站,在图10所示的实施方式中从1至4地编号。每个站可具有加热或未加热的底座(对于站1表示为1018)以及气体管线入口。将理解,在一些实施方式中,每个处理站可具有不同的或多个目的。例如,在一些实施方式中,处理站可在ALD和等离子体增强化学气相沉积(PECVD)处理模式之间切换。作为附加或替代,在一些实施方式中,处理室1014可包括一个或多个配对的ALD和PECVD处理室。尽管所描绘的处理室1014包括四个站,但能理解根据本公开的处理室可具有任何适宜数量的站。例如,在一些实施方式中,处理室可具有五个或更多个站,而在其它实施方式中处理室可具有三个或更少个站。
每个站可包括分立的喷淋头组件,其在关联的站将处理气体传递至衬底。在一些实施方式中,这些喷淋头中的一些或全部可利用如本文所述的具有多孔挡板的低体积喷淋头。例如,如果站向衬底提供ALD处理或可从本文所述的设备的使用中获益的其它处理,则站的喷淋头可以是如本文描述的具有多孔挡板的低体积喷淋头。
图10也绘出在处理室1014内传递衬底的衬底操纵系统1090。在一些实施方式中,衬底操纵系统1090可在多个处理站之间和/或在处理站和装载锁定件之间传递衬底。将理解,可采用任何适宜的衬底操纵系统。非限定例包括衬底传送带和衬底操纵机械臂。图10又示出被利用以控制处理工具1000的处理条件和硬件状态的系统控制器1050。系统控制器1050可包括一个或多个存储器设备1056、一个或多个海量存储设备1054以及一个或多个处理器1052。处理器1052可包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制板等等。
在一些实施方式中,控制器1050是可作为前述例子的一部分的系统的一部分。这些系统可包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个腔、用于处理的一个或多个平台和/或特定处理部件(例如晶片底座、气体流动系统等)。这些系统可与电子器件整合以在半导体晶片或衬底的处理之前、之中和之后控制它们的操作。电子器件可被称为“控制器”,其可控制一个或多个系统的多个部件或子部分。依赖于处理需要和/或系统类型,控制器1050可被编程以控制本文披露的任一处理,包括处理气体的传递、温度设定(例如加热和/或冷却)、压力设定、真空设定、功率设定、射频(RF)发生器设定、RF匹配电路设定、频率设定、流率设定、流体传递设定、位置和操作设定、晶片传入/传出工具以及连接至或接口于特定系统的其它传递工具和/或装载锁定件。
广泛地说,控制器1050可被定义为具有用于接收指令、发布指令、控制操作、允许清理操作、允许端点测量等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可包括以存储程序指令的固件形式出现的芯片、数字信号处理器(DSP)、被定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器、或执行程序指令(例如软件)的微控制器。程序指令可以是以多种个别设定(或程序文件)的形式与控制器通信的指令,其定义工作参数以在半导体衬底上或对半导体衬底或对系统执行一特定处理。工作参数在一些实施例中可以是由工艺工程师定义的配方的一部分,用以在一个或多个层、材料、金属、氧化物、硅、氧化硅、表面、电路和/或衬底模的制造过程中实现一个或多个处理步骤。
在一些实施方式中,控制器1050可以是计算机的一部分或耦合至计算机,所述计算机集成、耦合至系统,或者联网至系统,或者是前述两者的组合。例如,控制器1050可以在“云”中或者是制造(fab)主机计算机系统的全部或一部分,它可允许对衬底处理的远程访问。计算机可允许对系统的远程访问以监视制造操作的当前处理、检查过往制造操作的历史、检查来自多个制造操作的趋势或表现度量,以改变当前处理的参数、设定处理步骤以遵循当前的处理或开始新的处理。在一些示例中,远程计算机(例如服务器)能在网络上将处理配方提供给系统,所述网络可包括局域网或因特网。远程计算机可包括允许将参数和/或设定输入或对其编程的用户接口,所述参数和/或设定随后从远程计算机被通信至系统。在一些示例中,控制器接收数据形式的指令,所述指令规定了在一个或多个操作期间被执行的每个处理步骤的参数。应当理解,参数可以是专门针对拟执行的处理的类型以及工具的类型,控制器1050被配置成与该工具的类型交互或控制该工具的类型。由此如前所述,控制器1050可以是分布式的,例如通过包括联网到一起并朝向同一目标(比如本文描述的处理和控制)工作的一个或多个分立处理器。为了这些目的的分布式控制器1050的一个示例可以是室上的一个或多个集成电路,前述集成电路与位于远端(例如在平台层面或作为远程计算机的一部分)的一个或多个集成电路通信,前述集成电路组合以控制室上的处理。
不构成限制地,示例性系统可包括等离子体蚀刻室或模块、沉积室或模块、自旋漂洗室或模块、金属电镀室或模块、清理室或模块、倒角蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子植入室或模块、跟踪室或模块以及可关联于或用于半导体衬底的制造和/或生产的任何其它半导体处理系统。
如前面提到的,根据由工具执行的一个或多个处理步骤,控制器1050可与一个或多个其它工具电路或模块、其它工具部件、集束型工具、其它工具接口、邻近的工具、相邻的工具、遍及工厂分布的工具、主计算机、另一控制器或用于材料输送的工具通信,所述材料输送将衬底的容器送至半导体制造工厂中的工具位置和/或装载端口或者自半导体制造工厂中的工具位置和/或装载端口送出。
在一些实施方式中,系统控制器1050控制处理工具1000的所有活动。系统控制器1050执行系统控制软件1058,所述系统控制软件1058被存储在海量存储设备1054、装载入存储器设备1056并在处理器1052上被执行。系统控制软件1058可包括指令,用以控制定时、气体混合物、室和/或站压力、室和/或站温度、衬底温度、目标功率水平、RF功率水平、衬底底座、卡盘和/或基座位置以及由处理工具1000执行的特定处理的其它参数。系统控制软件1058可以任何适宜方式配置。例如,可撰写多个处理工具部件子例程或控制对象以控制执行多个处理工具处理所需的处理工具部件操作。系统控制软件1058可以任何适宜的计算机可读编程语言编码。
在一些实施方式中,系统控制软件1058可包括输入/输出控制(IOC)定序指令,用于控制前述的各个参数。例如,ALD处理的每个阶段可包括一个或多个指令以供系统控制器1050执行。用于设定ALD处理阶段的处理条件的指令可包括在相应的ALD配方阶段中。在一些实施方式中,多个喷淋头(如果有的话)可独立地受到控制以允许执行独立的、并行的处理操作。
在一些实施方式中,可利用被存储在关联于系统控制器1050的海量存储设备1054和/或存储器设备1056上的其它计算机软件和/或程序。为了这个目的的程序或程序段的示例包括衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可包括处理工具部件的程序代码,所述处理工具部件被用来将衬底装载到底座1018上并控制衬底和处理工具1000的其它部件之间的间距。
处理气体控制程序可包括代码以控制气体成份和流率并可选择地在沉积之前使气体流入一个或多个处理站以使处理站内的压力稳定。压力控制程序可包括代码,以通过调整例如处理站的排气系统中的扼流阀或进入处理站的气体流动而控制处理站内的压力。
加热器控制程序可包括代码以控制被送至加热单元并用以加热衬底的电流。替代地,加热器控制程序可控制将热传递气体(例如氦)传递至衬底。
等离子体控制程序可包括代码以设定被施加至一个或多个处理站内的处理电极的RF功率水平。等离子体控制程序在适当的情况下可包括代码以控制外部等离子体发生器和/或将处理气体提供给等离子体发生器或基源体积所需的阀装设。
在一些实施方式中,可以有与系统控制器1050关联的用户接口。该用户接口可包括显示屏幕、装置和/或处理条件的图形软件显示以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由系统控制器1050调整的参数可关联于处理条件。非限定示例包括处理气体成份和流率、温度、压力,等离子体条件(例如RF偏置功率水平)、压力、温度等等。这些参数可以配方形式提供给用户,所述配方可利用用户接口被输入。
可从各个处理工具传感器通过系统控制器1050的模拟和/或数字输入连接提供用于监视处理的信号。用于控制处理的信号可在处理工具1000的模拟和数字输出连接上输出。可被监视的处理工具传感器的非限定示例包括质量流控制器、压力传感器(例如压力计)、热偶等。可结合来自这些传感器的数据使用适当编程的反馈和控制算法以维持处理条件。
系统控制器1050可提供程序指令以实现多种半导体制造处理。程序指令可控制多种处理参数,例如DC功率水平、RF偏置功率水平、压力、温度等。指令可控制参数以进行膜叠层的原位沉积。
系统控制器可典型地包括一个或多个存储器设备和一个或多个处理器,所述一个或多个处理器被配置成执行指令以使装置将执行根据本发明的方法。包含用于控制根据本发明的处理操作的指令的机器可读介质可耦合至系统控制器。
尽管图10所示的半导体处理工具描绘了单个、四站处理室或模块,然而半导体处理工具的其它实施方式可包括多个模块,每个模块具有单个站或多个站。这些模块可彼此互连和/或布置在一个或多个传递室周围,所述传递室可利于衬底在模块之间的移动。由这些多模块半导体处理工具提供的一个或多个站可根据需要配备包括如本文所述的多孔挡板的低体积喷淋头。
总体来说,包括如本文描述的多孔挡板的低体积喷淋头可被安装在反应室内在衬底支承件之上,所述衬底支承件被配置成支承一个或多个半导体衬底。低体积喷淋头例如也可充当反应室的盖或盖的一部分。在其它实施方式中,低体积喷淋头可以是“吊灯”式喷淋头并可通过茎状部或其它支承结构从反应室的盖悬空。
前面描述的装置/处理可结合光刻布图工具或处理(例如步进式光刻机)地使用以制造或生产半导体设备、显示器、LED、光伏板等等。典型地,尽管不是必须地,这些工具/处理可在共同制造设施中一起使用或执行。膜的光刻布图典型地包括下列步骤中的一些或全部,每个步骤通过数种可能的工具实现:1)使用旋涂或喷涂工具在工件(即晶片)上施加光阻剂;2)使用热板或熔炉或UV固化工具固化光阻剂;3)通过诸如晶片步进式光刻机之类的工具使光阻剂暴露于可见光或UV光或x射线光;4)对光阻剂显影以有选择地去除光阻剂并由此使用诸如湿式工作台之类的工具对其进行布图;5)通过使用干式或等离子体辅助的蚀刻工具将光阻剂图案转印到下层膜或工件;以及6)使用诸如RF或微波等离子体光阻剂剥离机之类的工具去除光阻剂。
尽管之前为了清楚和理解目的作了一定程度详细的描述,然而显然某些改变和修正可在所附权利要求书的范围内实现。应当注意,存在许多替代的方式来实现所描述的处理、系统和装置。因此,所描述的实施例被认为是解说性的而非限制性的。

Claims (20)

1.一种用于半导体处理装置中的喷淋头,所述喷淋头包括:
具有第一表面和与所述第一表面相对的第二表面的增压体积,所述第一表面和所述第二表面至少部分地限定所述喷淋头的所述增压体积;
与所述增压体积流体连通的一个或多个气体入口;
包括多个第一通孔的面板,所述多个第一通孔从所述面板的第一侧延伸至所述面板的第二侧,所述面板的第一侧限定所述增压体积的所述第一表面;以及
包括多个第二通孔的挡板,所述挡板位于所述增压体积和所述一个或多个气体入口之间的区域内。
2.如权利要求1所述的喷淋头,其中,所述面板的直径比所述挡板的直径至少大四倍。
3.如权利要求2所述的喷淋头,其中,所述面板的直径比所述挡板的直径至少大十倍。
4.如权利要求1所述的喷淋头,其中,所述一个或多个气体入口包括与所述增压体积流体连通的茎状部。
5.如权利要求4所述的喷淋头,其中,所述茎状部的体积在大约1毫升和大约50毫升之间。
6.如权利要求4所述的喷淋头,其中,所述茎状部、所述区域和所述增压体积中的每一个限定圆柱形体积,并且其中所述增压体积的直径大于所述区域的直径,并且所述区域的直径大于所述茎状部的直径。
7.如权利要求1所述的喷淋头,其中,所述喷淋头的体积在大约50毫升和大约500毫升之间。
8.如权利要求7所述的喷淋头,其中,所述喷淋头的体积在大约100毫升和大约300毫升之间。
9.如权利要求1-8中任何一项所述的喷淋头,其中,所述挡板的孔隙率在大约5%和大约25%之间。
10.如权利要求1-8中任何一项所述的喷淋头,其中,相比所述挡板的中央,所述多个第二通孔位置更朝向所述挡板的边缘。
11.如权利要求1-8中任何一项所述的喷淋头,其中,所述挡板基本居中在所述一个或多个气体入口上并基本平行于所述第一表面和所述第二表面。
12.如权利要求1-8中任何一项所述的喷淋头,其中,第一通孔的数目在大约1500个通孔和大约2500个通孔之间。
13.如权利要求1-8中任何一项所述的喷淋头,还包括:
与所述面板相对的后板,其中所述后板的一侧限定所述增压体积的第二表面,并且其中所述增压体积和所述一个或多个气体入口之间的所述区域凹进限定所述增压体积的所述第二表面的所述后板的所述一侧。
14.一种半导体处理站,所述半导体处理站包括权利要求1所述的喷淋头。
15.如权利要求14所述的半导体处理站,还包括:
控制器,其配置有指令以执行下列操作:
将衬底提供入所述半导体处理站;
通过所述喷淋头将反应气体引入所述半导体处理站以使其吸附到所述衬底的表面上;
通过所述喷淋头将清洗气体引入到所述半导体处理站内;以及
施加等离子体以从所述衬底的所述表面上吸附的反应气体形成薄膜层。
16.如权利要求15所述的半导体处理站,其中,所述薄膜层的膜不均一性小于大约0.5%。
17.如权利要求16所述的半导体处理站,其中,所述膜不均一性与和引入所述气体反应物、引入所述清洗气体和施加所述等离子体中的一者或多者关联的一个或多个处理参数解除联系。
18.如权利要求15-17中任何一项所述的半导体处理站,其中,在原子层沉积(ALD)循环中形成所述薄膜层是在小于约1.5秒的时间内执行的。
19.一种半导体处理工具,所述半导体处理工具包括权利要求15-17中任何一项所述的半导体处理站。
20.如权利要求19所述的半导体处理工具,其中,所述半导体处理工具包括步进式光刻机。
CN201510221479.6A 2014-05-05 2015-05-04 具有多孔挡板的低体积喷淋头 Active CN105088189B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461988834P 2014-05-05 2014-05-05
US61/988,834 2014-05-05
US14/668,511 US10741365B2 (en) 2014-05-05 2015-03-25 Low volume showerhead with porous baffle
US14/668,511 2015-03-25

Publications (2)

Publication Number Publication Date
CN105088189A true CN105088189A (zh) 2015-11-25
CN105088189B CN105088189B (zh) 2019-03-15

Family

ID=54354842

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510221479.6A Active CN105088189B (zh) 2014-05-05 2015-05-04 具有多孔挡板的低体积喷淋头

Country Status (6)

Country Link
US (1) US10741365B2 (zh)
JP (1) JP6799902B2 (zh)
KR (4) KR102333103B1 (zh)
CN (1) CN105088189B (zh)
SG (1) SG10201503253QA (zh)
TW (1) TWI681820B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111128794A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 用于扩散气体的扩散器与使用扩散器的半导体制程系统
CN111270221A (zh) * 2020-04-03 2020-06-12 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
CN113802113A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种改善反应过程中反射功率稳定性的等离子体发生装置
CN113862642A (zh) * 2016-04-13 2021-12-31 朗姆研究公司 挡板和喷头组件及相应的制造方法
TWI824368B (zh) * 2021-11-02 2023-12-01 南韓商Psk有限公司 上部電極單元及包含其的基板處理設備

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10480070B2 (en) * 2016-05-12 2019-11-19 Versum Materials Us, Llc Delivery container with flow distributor
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
TWI738920B (zh) * 2016-11-14 2021-09-11 日商東京威力科創股份有限公司 半導體製造方法及相關裝置與電漿處理系統
JP2019054189A (ja) 2017-09-19 2019-04-04 東芝メモリ株式会社 成膜装置および成膜方法
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
TWI812475B (zh) * 2018-09-29 2023-08-11 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
KR20210126130A (ko) 2019-03-08 2021-10-19 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버를 위한 다공성 샤워헤드
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR102275757B1 (ko) * 2020-08-24 2021-07-09 피에스케이 주식회사 기판 처리 장치
TW202230442A (zh) * 2020-10-09 2022-08-01 美商蘭姆研究公司 無面板噴淋頭
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0462730A1 (en) * 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
US5186756A (en) * 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
CN1802722A (zh) * 2003-05-22 2006-07-12 艾克塞利斯技术公司 等离子体灰化装置和终点检测方法
US20090095220A1 (en) * 2007-10-16 2009-04-16 Novellus Systems Inc. Temperature controlled showerhead
CN101448977A (zh) * 2005-11-04 2009-06-03 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US20100206376A1 (en) * 2009-02-16 2010-08-19 You Dongjoo Solar cell, method and apparatus for manufacturing solar cell, and method of depositing thin film layer
CN102132382A (zh) * 2008-08-20 2011-07-20 应用材料公司 表面处理的氮化铝挡板
US20110300716A1 (en) * 2010-06-03 2011-12-08 Kie-Jin Park Method of improving film non-uniformity and throughput
US20120222815A1 (en) * 2011-03-04 2012-09-06 Mohamed Sabri Hybrid ceramic showerhead

Family Cites Families (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (zh) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) * 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5106453A (en) * 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5286519A (en) * 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
ATE181969T1 (de) * 1994-03-29 1999-07-15 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
US5468298A (en) * 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) * 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6170894B1 (en) * 1999-06-10 2001-01-09 Rhonda Baker Glass pane lifter apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6205869B1 (en) * 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US20020134507A1 (en) * 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
EP1240366B1 (en) * 1999-12-22 2003-07-09 Aixtron AG Chemical vapor deposition reactor and process chamber for said reactor
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
KR101004222B1 (ko) 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US20030047282A1 (en) 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7250083B2 (en) * 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
JP2003271218A (ja) 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
CN101053063B (zh) * 2004-09-01 2012-10-03 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) * 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (ja) * 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
WO2008045972A2 (en) * 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8128750B2 (en) * 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8568555B2 (en) * 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20090136652A1 (en) 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
USD593640S1 (en) * 2008-01-31 2009-06-02 Hansgrohe Ag Showerhead
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (ko) * 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
KR101430744B1 (ko) * 2010-06-21 2014-08-18 세메스 주식회사 박막 증착 장치
KR101100284B1 (ko) * 2010-06-21 2011-12-30 세메스 주식회사 박막 증착 장치
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20120227665A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
CN102953050B (zh) * 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
JP6136613B2 (ja) 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9314854B2 (en) * 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) * 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) * 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10022689B2 (en) * 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186756A (en) * 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
EP0462730A1 (en) * 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
CN1802722A (zh) * 2003-05-22 2006-07-12 艾克塞利斯技术公司 等离子体灰化装置和终点检测方法
CN101448977A (zh) * 2005-11-04 2009-06-03 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US20090095220A1 (en) * 2007-10-16 2009-04-16 Novellus Systems Inc. Temperature controlled showerhead
CN102132382A (zh) * 2008-08-20 2011-07-20 应用材料公司 表面处理的氮化铝挡板
US20100206376A1 (en) * 2009-02-16 2010-08-19 You Dongjoo Solar cell, method and apparatus for manufacturing solar cell, and method of depositing thin film layer
US20110300716A1 (en) * 2010-06-03 2011-12-08 Kie-Jin Park Method of improving film non-uniformity and throughput
US20120222815A1 (en) * 2011-03-04 2012-09-06 Mohamed Sabri Hybrid ceramic showerhead

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113862642A (zh) * 2016-04-13 2021-12-31 朗姆研究公司 挡板和喷头组件及相应的制造方法
CN111128794A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 用于扩散气体的扩散器与使用扩散器的半导体制程系统
CN111128794B (zh) * 2018-10-31 2023-05-09 台湾积体电路制造股份有限公司 用于扩散气体的扩散器与使用扩散器的半导体制程系统
CN111270221A (zh) * 2020-04-03 2020-06-12 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
CN113802113A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种改善反应过程中反射功率稳定性的等离子体发生装置
TWI824368B (zh) * 2021-11-02 2023-12-01 南韓商Psk有限公司 上部電極單元及包含其的基板處理設備

Also Published As

Publication number Publication date
TW201607614A (zh) 2016-03-01
CN105088189B (zh) 2019-03-15
TWI681820B (zh) 2020-01-11
US20150315706A1 (en) 2015-11-05
KR102333103B1 (ko) 2021-11-29
KR20210145114A (ko) 2021-12-01
KR20230136584A (ko) 2023-09-26
SG10201503253QA (en) 2015-12-30
JP6799902B2 (ja) 2020-12-16
KR20150126789A (ko) 2015-11-13
KR20230133257A (ko) 2023-09-19
JP2016036011A (ja) 2016-03-17
US10741365B2 (en) 2020-08-11

Similar Documents

Publication Publication Date Title
CN105088189A (zh) 具有多孔挡板的低体积喷淋头
JP7181337B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
CN105316651B (zh) 抑制喷头背面寄生等离子体的方法和装置
CN110112048A (zh) 用于图案化非挥发性金属的室
CN107523804A (zh) 用于膜轮廓调节的喷头帘式气体方法和系统
CN107452590A (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
US10697059B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN107768275A (zh) 利用可移动边缘环和气体注入调整控制晶片上cd均匀性
CN105386012A (zh) 用于在低温ald系统中的稳定沉积率控制的方法和装置
CN107230616A (zh) 用于控制晶片内工艺均匀性的方法和装置
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
CN110537241A (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
CN100466162C (zh) 用于改进cvd膜性能的边流面板
CN105938792A (zh) 最小化teos氧化物膜沉积期间接缝效应的方法和装置
US20210395885A1 (en) Throughput improvement with interval conditioning purging
KR20200067218A (ko) 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant