CN105938792A - 最小化teos氧化物膜沉积期间接缝效应的方法和装置 - Google Patents

最小化teos氧化物膜沉积期间接缝效应的方法和装置 Download PDF

Info

Publication number
CN105938792A
CN105938792A CN201610127551.3A CN201610127551A CN105938792A CN 105938792 A CN105938792 A CN 105938792A CN 201610127551 A CN201610127551 A CN 201610127551A CN 105938792 A CN105938792 A CN 105938792A
Authority
CN
China
Prior art keywords
holes
rows
inch
panel
gas jetting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610127551.3A
Other languages
English (en)
Other versions
CN105938792B (zh
Inventor
阿鲁尔·N·达斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202110950325.6A priority Critical patent/CN113903654A/zh
Publication of CN105938792A publication Critical patent/CN105938792A/zh
Application granted granted Critical
Publication of CN105938792B publication Critical patent/CN105938792B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)

Abstract

本发明涉及最小化TEOS氧化物膜沉积期间接缝效应的方法和装置。一种最小化在半导体衬底等离子体处理装置中的半导体衬底上进行的沟槽填充工艺期间沉积的TEOS氧化物膜的接缝效应的方法,该方法包括将半导体衬底支撑在半导体衬底等离子体处理装置的真空室中的基座上。使包括TEOS、氧化剂和氩气的工艺气体流动通过喷头组件的面板进入真空室的处理区域中。RF能量将所述工艺气体激励成等离子体,其中TEOS氧化物膜被沉积在半导体衬底上以便填充其至少一个沟槽。所述氩气以足以增大所述等离子体的电子密度的量供给,使得朝向半导体衬底的中央的TEOS氧化物膜的沉积速度增大,以及在至少一个沟槽中所沉积的TEOS氧化物膜的接缝效应减小。

Description

最小化TEOS氧化物膜沉积期间接缝效应的方法和装置
技术领域
本发明所公开的实施方式涉及用于在半导体衬底处理过程中在半导体衬底上沉积电介质膜的方法和装置,并且更具体地涉及最小化在TEOS氧化物膜沉积期间接缝效应的方法和装置。
背景技术
TEOS(三-乙氧基-有机-硅酸盐)是一种含硅化合物,其在室温下为液体。例如,代替硅烷,TEOS在许多应用中被使用以在衬底上沉积电介质膜。因为由TEOS化学气相沉积工艺沉积的二氧化硅(或“TEOS氧化物”)膜具有良好的共形性,所以TEOS被用于其中需要共形性的应用中。TEOS氧化物通常由等离子体增强沉积化学气相沉积(PECVD)工艺进行沉积。
基于TEOS的PECVD工艺通常涉及将衬底暴露于包括TEOS和如氧或臭氧之类的氧化剂的工艺气体。在半导体衬底处理的沟槽填充工艺过程中TEOS氧化物沉积可能导致不均匀沉积和台阶覆盖的形成,从而由于沉积在沟槽的侧壁上的沉积材料的悬垂可导致在所沉积的膜中形成空隙和/或接缝(即接缝效应)。因此,人们期望具有用于沉积TEOS氧化物膜的高沉积速率、高纯度的工艺,其中如接缝效应之类缺陷被最小化。
发明内容
本发明公开的是一种最小化在半导体衬底等离子体处理装置中的半导体衬底上进行沟槽填充工艺期间沉积的TEOS氧化膜的接缝效应的方法。该方法包括将半导体衬底支撑在半导体衬底等离子体处理装置的真空室中的基座上,其中所述半导体衬底包括在其上表面的至少一个沟槽。使包括TEOS、氧化剂和氩气的工艺气体流动通过半导体衬底等离子体处理装置的喷头组件的面板到达真空室的在半导体衬底的上表面的上方的处理区域内。利用至少一个RF产生器将RF能量供给到真空室的处理区域,以将工艺气体激发成等离子体,其中TEOS氧化物膜被沉积在半导体衬底的上表面上,以便填充所述至少一个沟槽,其中所述氩气以足以增大等离子体的电子密度的量被供给,使得朝向半导体衬底的中央的TEOS氧化物膜的沉积速率增大,而在所述至少一个沟槽中沉积的TEOS氧化物膜的接缝效应减小。
本发明还公开了半导体衬底等离子体处理装置的喷头组件。该喷头组件包括:面板,所述面板包括下壁和从下壁的外周向上延伸的环形外壁;和背板,其中所述背板的外周焊接在背板的向上延伸的环形壁上,使得在面板的下壁和背板之间形成腔。面板的下壁包括延伸通过其中的至少6000个气体喷射孔,其中至少6000个气体喷射孔在空间上布置在面板的下壁中,使得工艺气体输送到所述腔并通过所述至少6000个气体喷射孔喷射,从而最小化在TEOS氧化物沟槽填充操作期间在半导体衬底的至少一个沟槽中沉积的TEOS氧化物膜的接缝效应。
附图说明
图1示出了可操作以执行如本发明所公开的沉积TEOS氧化物膜的方法的半导体衬底处理装置的示意图。
图2A示出了现有技术的在半导体衬底的DRAM单元特征上执行的TEOS氧化物沉积工艺的结果。
图2B示出了根据本发明所公开的一种实施方式执行的半导体衬底的DRAM单元特征上的TEOS氧化物沉积工艺的结果。
图3A示出了作为现有技术的在半导体衬底的DRAM单元特征上的TEOS氧化物沉积工艺的结果的形成的接缝的角度。
图3B示出了作为根据本发明所公开的一种实施方式执行的在半导体衬底的DRAM单元特征上的TEOS氧化物沉积工艺的结果的形成的接缝的角度。
图4A和图4B示出了根据本发明所公开的一种实施方式所述的半导体衬底等离子体处理装置的喷头组件的一种实施方式
图5A示出了根据本发明所公开的一种实施方式的半导体衬底等离子体处理装置的喷头组件的面板的一种实施方式,图5B示出了图5A的细节J的放大图。
具体实施方式
在下面的详细描述中阐述了示例性的实施方式,以提供对公开的装置和方法的理解。然而,对本领域的技术人员而言,显而易见的是,示例性的实施方式可以在没有这些具体细节的情况下实施或通过使用替代的元件或方法来实施。在其他实例中,没有具体说明公知的处理、过程和/或组件,以免不必要地模糊本公开的实施方式的方面。附图中的类似标号表示类似的元件。如本文所用的术语“约”是指±10%。
三乙氧基有机硅酸盐(“TEOS”)是一种含硅化合物,TEOS在室温下为液体,并在许多应用中被使用以在半导体衬底等离子体处理装置中在半导体衬底上沉积TEOS氧化物膜。TEOS通常代替硅烷用于需要良好的共形性的应用中,例如,当半导体衬底表面含有凹陷特征或其它不规则性结构时。
使用TEOS(也称为TEOS氧化物膜或TEOS膜)沉积的二氧化硅膜可使用含有TEOS和氧化剂(通常为氧气或臭氧)的工艺气体通过等离子体增强化学气相沉积工艺或等离子体增强原子层沉积工艺来沉积。
本发明所公开方法的实施方式可以在等离子体增强化学气相沉积(PECVD)反应器中来实施,或者可替换地在等离子体增强原子层沉积(PEALD)反应器中来实施。这样的反应器可采取许多不同的形式。该装置可以包括一个或多个真空室(室)或“反应器”(有时包括多个站),每个真空室或“反应器”可容纳一个或多个半导体衬底,并适合用于半导体衬底的等离子体处理。一个或多个室将半导体衬底保持在确定的位置或多个位置(在该位置内运动或没有运动,运动例如旋转、振动或其他搅动)。在一种实施方式中,在处理过程中经受沉积处理的半导体衬底从反应器室内的一个站运输到另一个站。例如,如果期望在半导体衬底的上表面上沉积2000埃的膜,那么在根据本发明所公开的实施方式所述的四个站中的每个在半导体衬底的上表面上可以沉积500埃的膜。替代地,TEOS氧化物膜沉积可以全部在单个站进行或可以在任何数目的站沉积总膜厚的任何部分。
在处理期间,每个半导体衬底由基座(即,半导体衬底支架)、静电卡盘,和/或其它衬底保持装置保持在适当的位置。对于其中半导体衬底被加热的某些操作,衬底支架或基座可以包括如加热板之类的加热器。
图1提供了描绘布置用于实施如本发明所公开的实施方式的各种反应器组件的简单框图。如图所示,半导体衬底等离子体处理装置300包括真空室324,真空室324包围反应器的其它部件并用于容纳由电容器型系统所产生的等离子体,电容器型系统包括与接地加热器块320结合工作的喷头组件314。至少一个RF产生器可操作地供给RF能量到在真空室324中的半导体衬底316的上表面上方的处理区域中,以将供给到真空室324的处理区域中的工艺气体激励成等离子体,使得等离子体沉积工艺可在真空室324中进行。例如,高频RF产生器302和低频RF产生器304中的每一个可以连接到匹配网络306,匹配网络306连接到喷头组件314,使得RF能量可被提供给真空室324中的半导体衬底316上方的处理区域。通过匹配网络306供给到真空室324的内部的RF能量的功率和频率足以从工艺气体产生等离子体。在一种实施方式中,高频RF产生器302在约2-60MHz的频率下工作,在优选的实施方式中,高频RF产生器302在约13.56MHz的频率下工作。在一种实施方式中,高频RF产生器302的功率为约1100W至1700W。在一种实施方式中,低频RF产生器304在约50至800kHz、优选约300至500kHz的频率下工作,而低频RF产生器304的功率为约1550至2400W。
在反应器中,半导体衬底基座318支撑半导体衬底316。基座可包括卡盘、叉、或升降销以在沉积和/或等离子体处理工艺期间和中间保持和输送半导体衬底。卡盘可以是静电卡盘、机械卡盘、真空卡盘,或如可用于工业和/或研究用途的各种其它类型的卡盘。用于包括静电卡盘的基座的升降销组件的细节可在共同转让的美国专利No.8,840,754中得到,其全部内容通过引用并入本文中。
使工艺气体经由入口312和喷头组件314引入真空室324。多个源气体管线310可以连接到加热的歧管308。可以预先混合或不预先混合气体。适当的阀门和质量流量控制机构被用来确保在等离子体沉积期间输送适当的气体。当化学前体以液体形式(如液体TEOS)输送时,液体流控制机构(诸如液体前体传输系统341和液体供给管线301)可用于控制所供给的液体前体的流率,其中由液体前体输送系统341供给的液体在其输送至加热的歧管或在加热的歧管308中和在加热的歧管308中与其他工艺气体混合的过程中被加热到其汽化点以上,其中包括汽化的液体前体(如汽化的TEOS)的工艺气体通过喷头组件314被供给到真空室324,随后在真空室324中进行等离子体沉积。液体前体(如液体TEOS)在汽化之前的流率对应于被输送到真空室中的随后汽化的前体的量。用于沉积装置的液体前体输送系统的细节可在共同转让的美国专利No.8,017,527中得到,其全部内容通过引用并入本文。
工艺气体可经由出口322离开真空室324。真空泵326(例如,一个或两个阶段机械干式泵和/或涡轮分子泵)可以通过闭环控制的流动限制装置(如节流阀或钟摆阀)将工艺气体吸引出真空室324并保持真空室324内的适当的低压强。优选地,在TEOS氧化物膜沉积期间,在真空室324中的压强被维持在约3-5托,或约4托。
如上所述,针对基于液体的PECVD和/或PEALD工艺要考虑的问题之一是在沟槽填充工艺中不均匀的沉积和/或台阶覆盖的形成,沟槽填充工艺如形成TEOS氧化物层100的TEOS氧化物沉积工艺。例如,如图2A所示,现有技术的TEOS氧化物沉积工艺在半导体衬底50的DRAM单元特征的填充有TEOS氧化物层100的TEOS氧化物材料的沟槽102的侧壁103上产生TEOS氧化物材料的严重“过悬垂”沉积。严重“过悬垂”导致“尖牙(Fang)”形部105的形成,并在例如DRAM单元结构中的TEOS氧化物层100的TEOS氧化物材料中形成尖锐的接缝拐角104,其中随后的处理(诸如湿法清洁工艺)可能会导致空隙、裂纹和器件失灵。
本发明公开的方法和装置的实施方式最小化在沟槽填充工艺(例如在形成半导体衬底的DRAM单元特征的过程中的沟槽填充工艺)过程中TEOS氧化物沉积的接缝效应。例如,图2B示出了在半导体衬底250上已经执行沟槽填充工艺和湿法清洁工艺之后,已经在根据本文所公开的装置上根据本文所公开的实施方式处理的经处理的半导体衬底250。如图2B所示,由于TEOS氧化物层200的所沉积的TEOS氧化物材料的接缝效应已经被最小化并且在所沉积的TEOS氧化物层200的材料中未形成“尖牙”形,因此半导体衬底250的DRAM单元结构不具有尖锐的接缝拐角。沉积的TEOS氧化物层200的厚度为约23500埃,如图2B所示,沉积的TEOS氧化物层200在整个单元特征是均匀的,并且接缝效应减小(最小化)(即,接缝角度减小,接缝角度会充当裂纹扩展和空隙形成的成核点)。
在一种实施方式中,沉积TEOS氧化物的方法包括提供半导体衬底到半导体衬底等离子体处理装置(例如PECVD或PEALD装置)的真空室中。半导体衬底优选是具有直径为至少约300毫米的半导体晶片。TEOS氧化物膜的应用的实例描述如下。然后,包括蒸气形式的TEOS(TEOS气体)、氧化剂、氦气和氩气的工艺气体被引入到真空室中。氧化剂的例子可以包括氧和臭氧。工艺气体也可包括一种或多种掺杂剂气体,一种或多种掺杂剂气体包括二氧化碳。TEOS氧化物膜然后可以通过高沉积速率的PECVD或PEALD反应在衬底表面上进行沉积。
初始的沉积速率优选为至少约7000埃/分钟。在一种实施方式中,初始的沉积速率为至少约8000埃/分钟、约9000埃/分钟、9500埃/分钟、9700埃/分钟和10000埃/分钟。随着衬底上的膜的积累,沉积速率增大;对于在其上已累积厚层膜的衬底,沉积速率可以是约20000-30000埃/分钟。为了以高的沉积速率沉积膜,液体TEOS和氧化剂(以气态形式)流率高。例如,汽化之前的液体TEOS的流率的范围可以为约11-17毫升/分钟。在具体的实施方式中,汽化之前的液体TEOS的流率可以是至少约13毫升/分钟、14毫升/分钟,或15毫升/分。优选地,汽化之前的液体TEOS的流率为约14毫升/分。氧化剂可以是O2或臭氧,其中氧化剂优选为O2。氧化剂的流率的范围可以为约15200sccm-23000sccm,或在具体的实施方式中为约18000-20000sccm,优选为19000sccm。
根据多种实施方式,氦气流率的范围为约1000-5000sccm,和约3,000-5,000sccm,或在某些实施方式中为约3500-4500sccm,优选为约4000sccm。工艺气体混合物中氦气的加入增大朝向半导体衬底的上表面上方的处理区域的外周的等离子体中的电子的数量(即,电子密度)和等离子体密度,以便增大TEOS氧化物在处理期间朝向半导体衬底的外周的沉积速率。加入氦气至工艺气体混合物的进一步有益效果在共同转让的美国专利No.7,923,376中得到讨论,其全部内容通过引用并入本文。
根据多种实施方式,氩气流率的范围为约2000至6000sccm,或约3000至5000sccm。如果在工艺气体混合物中的氩气增大了朝向半导体衬底的上表面上方的处理区域的中央的等离子体中的电子的数量(即,电子密度)和等离子体密度,从而增大在处理过程中TEOS氧化物朝向半导体衬底的中央的沉积速率。因此,通过控制在工艺气体混合物中的氩气和氦气的各自流率,可以控制在半导体衬底的整个上表面的TEOS氧化物膜的沉积速率,以使得在半导体的上表面中形成的沟槽中沉积的TEOS氧化物膜的接缝效应可以被最小化。
在真空室中的工艺气体的总流率和其它条件可以尤其根据RF功率、室压强、衬底表面温度、以及衬底的尺寸的不同而变化。上述流率是针对300mm的半导体晶片的;本领域技术人员会理解,可以针对在200mm或450mm尺寸的衬底或更大的衬底(例如用于平板设备的衬底)上高沉积速率的PECVD的TEOS氧化物来调节流率。衬底温度为约300℃至550℃,优选为约375℃,其中在整个衬底上温度不均匀性优选小于2℃。
PECVD TEOS氧化物膜的应用可包括沟槽填充操作、层间电介质、电介质间隙填充、栅极电介质、阻挡层和覆盖层。如上所述,当在不使用氩气的PECVD工艺中工艺气体包含氩气时,用TEOS沉积的氧化硅膜具有改善的共形性和台阶覆盖性。此外,本发明公开的方法的实施方式可结合其他工艺;例如,PECVD TEOS间隙填充工艺可交替进行溅射蚀刻步骤与TEOS氧化物沉积。
反应物气体的相对流率以及RF功率可取决于沉积膜的期望的特性。例如,在某些应用中,需要拉伸膜。蚀刻选择性由膜应力控制。因此,可以控制膜应力以针对给定的应用设定蚀刻选择性。优选地,沉积的膜可具有介于约0至150MPa之间的拉伸膜应力;在某些实施方式中为至少50MPa。
在多种实施方式中,例如,在沟槽填充应用中,期望的膜具有高的压缩膜应力。优选地,所得到的膜可以具有介于约150至400兆帕之间的压缩膜应力。
图3A示出了在汽化之前的液体TEOS流率为14毫升/分钟的、氦流率为1000sccm和O2流率为15000sccm的在半导体衬底上的TEOS氧化物膜的现有技术的沉积沟槽填充工艺的一种实施方式。在沉积过程中,真空室保持在约2.4托,高频RF产生器在约250W下供电,低频RF产生器在约2220W下供电。如图3A所示,存在接缝效应,其中沉积的TEOS氧化物膜具有约60度的接缝角度,在半导体衬底上已经执行湿式清洁工艺之后在沉积的材料中形成裂缝。
根据本发明所公开的方法的一个优选的实施方式,并如图3B所示,随着沉积的材料的接缝角度已经减小到约55度,接缝效应已经被最小化。在本实施方式中,在已经进行湿法清洗工艺之后,在沉积的材料中没有形成裂纹。为了实现接缝效应的最小化,等离子体沉积工艺包括液体TEOS在被汽化之前约14毫升/分钟的流率,约4000sccm的氦气流率,3000sccm的氩气流率,和19000sccm的O2流率。在沉积过程中,真空室保持在约4托,高频RF产生器在约1400W下供电,低频RF产生器在约1950W下供电。
图4A和4B示出了可根据本发明所公开的方法的实施方式使用的喷头组件314的一种实施方式。喷头组件314包括杆404、背板406和面板410。面板410优选地包括下壁421和从下壁421的外周向上延伸的环形壁422。下壁421包括等离子体暴露表面424。面板410的等离子体暴露表面424的直径可以稍大于在面板410的等离子体暴露表面424下方的被支撑的半导体衬底的直径,优选为半导体衬底的直径的约100%至125%。例如,对于300mm(12英寸)直径的半导体衬底,面板410的等离子体暴露表面424的直径可为约13至15英寸。
面板410的下壁421和背板406可各自具有约0.125至0.5英寸的厚度,或者约0.25英寸至0.5英寸的厚度,或约0.25英寸至0.375英寸的厚度。优选地,面板410的下壁421的厚度为0.375英寸,使得在面板410的整个等离子体暴露表面424上形成最小的热梯度。面板410可以由铝或铝合金,阳极化铝或被涂覆的铝,或者被配制成耐高温度、耐化学制剂和耐等离子体的其他金属制成。背板406可以由铝或铝合金,阳极化铝或被涂覆的铝,或者被配制成耐高温度、耐化学制剂和/或耐等离子体的其他金属制成。
在一种实施方式中,背板406的厚度为约0.5英寸,并且面板410的下壁421的厚度为约0.375英寸。背板406与面板410的向上延伸的环形壁422配合,使得在面板410的下壁421和背板406之间形成腔408。优选地,背板406被焊接到面板410的向上延伸的环形壁422,以便将面板410整体地耦接至背板406上。在一种替代实施方式中,螺钉可被用来将面板410可拆卸地耦接到背板406上。
杆404从喷头组件314的背板406向上延伸。在一种实施方式中,杆404的下端可以被焊接到背板406。反应物气体通过杆404中的气体入口通道402引入,流过背板406,并进入背板406和面板410之间的腔408。设置在腔408中的挡板412均匀地分布气体在整个腔408中,挡板412可以通过在挡板中的螺纹嵌件或螺纹孔(未示出)和若干螺丝连接到背板406上,或者可替换地,挡板412可以被焊接到背板406上。
腔408的容积由背板406和面板410之间的间隙限定。间隙可为约0.5至1英寸,优选约0.75英寸。为了在间隙中保持均匀的气流,间隙的尺寸可以利用位于背板406和面板410之间的若干柱440在多个位置(例如3、6或多达10个位置)而保持恒定。优选地,面板410包括其中一体形成的柱440,背板406包括被配置成当背板406被耦接到面板410时接收柱440的上端的对应的开口441。优选地,柱440的上端被焊接到背板406。面板410包括气体喷射孔444(参照图5A),使得输送到喷头组件314的腔408中的工艺气体可通过气体喷射孔444被喷射到半导体衬底上方的处理区域,并且如TEOS氧化物膜之类的材料也可以沉积在位于喷头组件314的面板410的下壁421的等离子暴露表面424下方的半导体衬底的上表面上。
图5A示出了根据本发明所公开的实施方式的喷头组件314(参见图4)的面板410的下壁421的等离子体暴露表面424的一种实施方式。图5B示出了图5A的细节J的放大图。如图5A所示,面板410包括多个气体喷射孔或开口444,其每一个延伸通过面板410的下壁421的厚度,使得工艺气体可以通过面板410供给(喷射)。气体喷射孔444在空间上被布置在面板410的下壁421,使得当根据本发明公开的方法的一种实施方式处理半导体衬底时,均匀的沉积可在半导体衬底的整个上表面实现。在一种实施方式中,至少6000个气体喷射孔444在空间上被布置在所述面板410的下壁中,使得工艺气体被输送到腔408(见图4B)并通过至少6000个气体喷射孔444喷射,从而最小化在TEOS氧化物沟槽填充操作期间在半导体衬底的至少一个沟槽中沉积的TEOS氧化物膜的接缝效应。
气体喷射孔444可以进行机械加工、研磨或钻孔。每个气体喷射孔444的直径可为约0.01至0.5英寸,优选的直径为约0.04英寸。可替代地,气体喷射孔444可包括较小直径的孔和较大直径的孔。在一种实施方式中,气体喷射孔444中的一些可具有不同的尺寸。例如,面板可以包括可选的中央气体喷射孔444a(参照图5B),其中中央气体喷射孔444b的直径大于、等于或小于其余的气体喷射孔444的直径。在一种实施方式中,在成排的同心的气体喷射孔中的气体喷射孔444中的每个具有相同的直径,或者替代地在成排的同心的气体喷射孔444中的气体喷射孔444的直径大于或小于相邻的成排的同心的气体喷射孔。在一种优选的实施方式中,面板410包括中央气体喷射孔444a,其中中央气体喷射孔444a的直径为约0.02英寸,而围绕中央气体喷射孔444a的其余的气体喷射孔444中的每个的直径为约0.04英寸。
气体喷射孔444的排列和气体喷射孔444(包括可选的中央气体喷射孔444a)中的每个的各自直径控制通过面板410供给的工艺气体的分配,由此控制在半导体衬底的整个上表面流动的工艺气体的组分的停留时间。在一种实施方式中,面板410包括至少约6000个气体喷射孔444。气体喷射孔444被布置在围绕面板410的中心的成排的同心孔中,其中优选地,在每个成排的同心的气体喷射孔中的相邻的气体喷射孔444之间的距离围绕面板410的中心等距间隔开。
优选地,面板410包括中央气体喷射孔444a(参照图5B)和围绕中央气体喷射孔444a的多个成排的同心的气体喷射孔444。在一种实施方式中,面板410不包括中央气体喷射孔444a。
在一种实施方式中,如图5A所示,面板410包括围绕可选中央气体喷射孔444a(参照图5B)的45个成排的同心的气体喷射孔444,其中第一成排的同心孔具有位于离面板410的中心约0.1-0.2英寸的径向距离处的9个气体喷射孔,第二成排的同心孔具有位于离面板410的中心约0.2-0.3英寸的径向距离处的16个气体喷射孔,第三成排的同心孔具有位于离面板410的中心约0.4-0.5英寸的径向距离处的21个气体喷射孔,第四成排的同心孔具有位于离面板410的中心约0.5-0.6英寸的径向距离处的27个气体喷射孔,第五成排的同心孔具有位于离面板410的中心约0.6-0.7英寸的径向距离处的34个气体喷射孔,第六成排的同心孔具有位于离面板410的中心约0.7-0.8英寸的径向距离处的44个气体喷射孔,第七成排的同心孔具有位于离面板410的中心约0.9-1英寸的径向距离处的49个气体喷射孔;第八成排的同心孔具有位于离面板410的中心约1-1.1英寸的径向距离处的56个气体喷射孔,第九成排的同心孔具有位于离面板410的中心约1.1-1.2英寸的径向距离处的62个气体喷射孔,第十成排的同心孔具有位于离面板410的中心约1.25-1.35英寸的径向距离处的70个气体喷射孔,第十一成排的同心孔具有位于离面板410的中心约1.4-1.5英寸的径向距离处的83个气体喷射孔,第十二成排的同心孔具有位于离面板410的中心约1.5-1.6英寸的径向距离处的86个气体喷射孔,第十三成排的同心孔具有位于离面板410的中心约1.7-1.8英寸的径向距离处的95个气体喷射孔,第十四成排的同心孔具有位于离面板410的中心约1.8-1.9英寸的径向距离处的97个气体喷射孔,第十五成排的同心孔具有位于离面板410的中心约1.9-2英寸的径向距离处的107个气体喷射孔,第十六成排的同心孔具有位于离面板410的中心约2.05-2.15英寸的径向距离处的118个气体喷射孔,第十七成排的同心孔具有位于离面板410的中心约2.2-2.3英寸的径向距离处的116个气体喷射孔,第十八成排的同心孔具有位于离面板410的中心约2.3-2.4英寸的径向距离处的127个气体喷射孔,第十九成排的同心孔具有位于离面板410的中心约2.4-2.5英寸的径向距离处的127个气体喷射孔,第二十成排的同心孔具有离面板410的中心约2.55-2.65英寸的径向距离处的139个气体喷射孔,第二十一成排的同心孔具有位于离面板410的中心约2.7-2.8英寸的径向距离处的159个气体喷射孔,第二十二成排的同心孔具有位于离面板410的中心约2.8-2.9英寸的径向距离处的162个气体喷射孔,在第二十三成排的同心孔具有位于离面板410的中心约3-3.1英寸的径向距离处的165个气体喷射孔,第二十四成排的同心孔具有位于离面板410的中心约3.1-3.2英寸的径向距离处的171个气体喷射孔,第二十五成排的同心孔具有位于离面板410的中心约3.2-3.3英寸的径向距离处的170个气体喷射孔,第二十六成排的同心孔具有位于离面板410的中心约3.35-3.45英寸的径向距离处的178个气体喷射孔,第二十七成排的同心孔具有位于离面板410的中心约3.5-3.6英寸的径向距离处的186个气体喷射孔,第二十八成排的同心孔具有位于离面板410的中心约3.6-3.7英寸的径向距离处的185个气体喷射孔,第二十九成排的同心孔具有位于离面板410的中心约3.75-3.85英寸的径向距离处的195个气体喷射孔,第三十成排的同心孔具有位于离面板410的中心约3.9-4英寸的径向距离处的195个气体喷射孔,第三十一成排的同心孔具有位于离面板410的中心约4-4.1英寸的径向距离处的200个气体喷射孔,第三十二成排的同心孔具有位于离面板410的中心约4.15-4.25英寸的径向距离处的202个气体喷射孔,第三十三成排的同心孔具有位于离面板410的中心约4.3-4.4英寸的径向距离处的205个气体喷射孔,第三十四成排的同心孔具有位于离面板410的中心约4.4-4.5英寸的径向距离处的210个气体喷射孔,第三十五成排的同心孔具有位于离面板410的中心约4.5-4.6英寸的径向距离处的214个气体喷射孔,第三十六成排的同心孔具有位于离面板410的中心约4.7-4.8英寸的径向距离处的215个气体喷射孔,第三十七成排的同心孔具有位于离面板410的中心约4.8-4.9英寸的径向距离处的212个气体喷射孔,在第三十八成排的同心孔具有位于离面板410的中心约4.9-5英寸的径向距离处的212个气体喷射孔,第三十九成排的同心孔具有位于离面板410的中心约5.1-5.2英寸的径向距离处的214个气体喷射孔,第四十成排的同心孔具有位于离面板410的中心约5.2-5.3英寸的径向距离处的212个气体喷射孔,第四十一成排的同心孔具有位于离面板410的中心约5.3-5.4英寸的径向距离处的210个气体喷射孔,第四十二成排的同心孔具有位于离面板410的中心约5.45-5.55英寸的径向距离处的198个气体喷射孔,第四十三成排的同心孔具有位于离面板410的中心约5.6-5.7英寸的径向距离处的160个气体喷射孔,第四十四成排的同心孔具有位于离面板410的中心约5.7-5.8英寸的径向距离处的160个气体喷射孔,以及第四十五成排的同心孔具有位于离面板410的中心约5.85-5.95英寸的径向距离处的140个气体喷射孔。优选地,每一成排的同心的气体喷射孔的气体喷射孔444围绕面板410的中心等距离地间隔开(即,在每一成排的同心的气体喷射孔中的相邻气体喷射孔之间的距离是大致相同的)。
返回参照图1,半导体衬底等离子体处理装置300包括控制器162,控制器162可以与电子设备集成,以用于控制如本文所公开的半导体衬底等离子体处理装置300的实施方式所述的操作和用于执行如本文所公开的方法的实施方式。电子器件可以被称为“控制器”,其可以控制系统或子系统的各种组件或子零件。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、被定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传输到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器162可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是可以允许远程访问晶片处理的fab主机系统的全部或一部分。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传输到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器162可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所提供的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平(即,处理模块)或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
如上所述,根据半导体衬底等离子体处理装置300将要执行的一个或多个工艺步骤,其控制器162可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。优选地,非临时性计算机机器可读介质包括用于控制半导体衬底等离子体处理装置300的程序指令。
在一种实施方式中,最小化在半导体衬底等离子体处理装置中的半导体衬底上执行的沟槽填充工艺期间沉积的TEOS氧化膜的接缝效应的方法包括将半导体衬底支撑在半导体衬底等离子体处理装置的真空室中的基座上,其中所述半导体衬底包括在其上表面上的至少一个沟槽。包括TEOS、氧化剂和氩气的工艺气体通过半导体衬底等离子体处理装置的喷头组件的面板流入真空室半导体衬底的上表面上方的处理区域。RF能量利用至少一个RF产生器被供给到真空室的处理区域中,以将工艺气体激发成等离子体,其中TEOS氧化物膜沉积在半导体衬底的上表面上,以填充其至少一个沟槽,其中氩气以足以增大等离子体中的电子密度的量被供给,使得TEOS氧化物膜朝向半导体衬底的中央的沉积速率增大,以及在至少一个沟槽中沉积的TEOS氧化物膜的接缝效应减小。
优选地,工艺气体还包括氦气,其中氦气以足以增大等离子体中的电子密度的流率被供给到真空室,使得TEOS氧化物膜朝向半导体衬底的外周的沉积速率增大,以及在至少一个沟槽中沉积的TEOS氧化物膜的接缝效应减小。
在一种实施方式中,利用在约1100至1700W下被供电的高频RF产生器将RF能量供给到真空室的处理区域,并利用在约1550至2400W下被供电的低频RF产生器供给RF能量到真空室的处理区域,并且在处理过程中所述真空室维持在约3-5托或约4托的压强,其中TEOS氧化物膜优选沉积到约1000埃至30000埃的厚度。
本文公开的实施方式已经参考优选实施方式进行了描述。然而,对本领域技术人员而言,显而易见的是,在不脱离本发明的构思的情况下,以与上述方式不同的特定的形式实现本发明是可能的。优选的实施方式是说明性的,并不应以任何方式被认为是限制性的。

Claims (20)

1.一种最小化在半导体衬底等离子体处理装置中的半导体衬底上进行的沟槽填充工艺期间沉积的TEOS氧化物膜的接缝效应的方法,所述方法包括:
将半导体衬底支撑在所述半导体衬底等离子体处理装置的真空室中的基座上,其中所述半导体衬底包括在其上表面中的至少一个沟槽;
使包括TEOS、氧化剂和氩气的工艺气体流动通过所述半导体衬底等离子体处理装置的喷头组件的面板进入所述真空室的在所述半导体衬底的所述上表面上方的处理区域中;
利用至少一个RF产生器供给RF能量到所述真空室的所述处理区域以将所述工艺气体激励成等离子体;以及
在所述半导体衬底的所述上表面上沉积TEOS氧化物膜以填充所述至少一个沟槽,其中所述氩气以足以增大所述等离子体的电子密度的量被供给,使得朝向所述半导体衬底的中央的所述TEOS氧化物膜的沉积速度增大,以及在所述至少一个沟槽中所沉积的所述TEOS氧化物膜的所述接缝效应减小。
2.如权利要求1所述的方法,其中所述氩气以约2000至6000sccm、约3000至5000sccm、或约4000sccm的流率供给到所述真空室。
3.如权利要求1所述的方法,其中所述工艺气体还包括氦气,其中所述氦气以足以增大所述等离子体中的所述电子密度的流率供给到所述真空室中,以使得朝向所述半导体衬底的外围的所述TEOS氧化物膜的所述沉积速率增大以及在所述至少一个沟槽中所沉积的所述TEOS氧化物膜的所述接缝效应减小。
4.如权利要求3所述的方法,其中所述氦气以约3000至5000sccm、约3500-4500sccm、或约4000sccm的流率供给到所述真空室。
5.如权利要求1所述的方法,其中所述氧化剂是O2或臭氧,所述氧化剂以约15000sccm-23000sccm,约18000-20000sccm,或约19000sccm的流率被供给到所述真空室。
6.如权利要求1所述的方法,其中利用至少一个RF产生器供给RF能量到所述真空室的所述处理区域包括利用在约1100W至1700W下被供电的高频RF产生器供给约2至60MHz的频率的RF能量到所述真空室的所述处理区域中以及利用在约1550至2400W下被供电的低频RF产生器供给约50至800kHz的频率的RF能量到所述真空室的所述处理区域中。
7.如权利要求1所述的方法,其中所述真空室被保持在约3-5托或约4托的压强下。
8.如权利要求1所述的方法,其还包括使液体TEOS以约11-17毫升/分钟或约14毫升/分钟的流率流动至加热的歧管,该加热的歧管能操作以汽化所述液体TEOS,其中所述加热的歧管与所述喷头组件流体连通,使得所汽化的所述TEOS能流动通过所述喷头组件的所述面板到达所述半导体衬底上方的所述处理区域。
9.如权利要求1所述的方法,其中,所述TEOS氧化物膜被沉积至约1000埃至30000埃的厚度。
10.如权利要求3所述的方法,其中液体TEOS在汽化之前的流率为约14毫升/分钟,所述氦气的流率为约4000sccm,所述氩气的流率为约3000sccm,所述O2的流率为约19000sccm。
11.如权利要求10所述的方法,其中所述高频RF产生器的功率为约1400W,所述低频RF产生器的功率为约1950W,并维持所述真空室中的所述压强在约4托。
12.如权利要求1所述的方法,其中所产生的所述TEOS氧化物膜的拉伸膜应力为约0至150Mpa。
13.如权利要求1所述的方法,其中所产生的所述TEOS氧化物膜的压缩膜应力为介于150-400Mpa之间。
14.一种根据权利要求1所述的方法处理的半导体衬底。
15.一种半导体衬底等离子体处理装置的喷头组件,该喷头组件包括:
面板,其包括下壁和从所述下壁的外周向上延伸的环形外壁;和
背板,其中所述面板的向上延伸的所述环形壁被焊接到背板的外周,使得在所述面板的所述下壁和所述背板之间形成腔;
其中,所述面板的所述下壁包括延伸穿过其中的至少6000个气体喷射孔,其中所述至少6000个气体喷射孔在空间上布置在所述面板的所述下壁,使得所述工艺气体通过所述至少6000个气体喷射孔输送,从而最小化在TEOS氧化物沟槽填充操作期间沉积在半导体衬底中的至少一个沟槽内的TEOS氧化物膜的接缝效应。
16.如权利要求15所述的喷头组件,其中所述面板的所述下壁包括任选的中央气体喷射孔和围绕所述任选的中央孔的45个成排的同心的气体喷射孔,其中第一成排的同心孔具有位于离所述面板410的所述中心约0.1-0.2英寸的径向距离处的9个气体喷射孔,第二成排的同心孔具有位于离所述面板410的所述中心约0.2-0.3英寸的径向距离处的16个气体喷射孔,第三成排的同心孔具有位于离所述面板410的所述中心约0.4-0.5英寸的径向距离处的21个气体喷射孔,第四成排的同心孔具有位于离所述面板410的所述中心约0.5-0.6英寸的径向距离处的27个气体喷射孔,第五成排的同心孔具有位于离所述面板410的所述中心约0.6-0.7英寸的径向距离处的34个气体喷射孔,第六成排的同心孔具有位于离所述面板410的所述中心约0.7-0.8英寸的径向距离处的44个气体喷射孔,第七成排的同心孔具有位于离所述面板410的所述中心约0.9-1英寸的径向距离处的49个气体喷射孔,第八成排的同心孔具有位于离所述面板410的所述中心约1-1.1英寸的径向距离处的56个气体喷射孔,第九成排的同心孔具有位于离所述面板410的所述中心约1.1-1.2英寸的径向距离处的62个气体喷射孔,第十成排的同心孔具有位于离所述面板410的所述中心约1.25-1.35英寸的径向距离处的70个气体喷射孔,第十一成排的同心孔具有位于离所述面板410的所述中心约1.4-1.5英寸的径向距离处的83个气体喷射孔,第十二成排的同心孔具有位于离所述面板410的所述中心约1.5-1.6英寸的径向距离处的86个气体喷射孔,第十三成排的同心孔具有位于离所述面板410的所述中心约1.7-1.8英寸的径向距离处的95个气体喷射孔,第十四成排的同心孔具有位于离所述面板410的所述中心约1.8-1.9英寸的径向距离处的97个气体喷射孔,第十五成排的同心孔具有位于离所述面板410的所述中心约1.9-2英寸的径向距离处的107个气体喷射孔,第十六成排的同心孔具有位于离所述面板410的所述中心约2.05-2.15英寸的径向距离处的118个气体喷射孔,第十七成排的同心孔具有位于离所述面板410的所述中心约2.2-2.3英寸的径向距离处的116个气体喷射孔,第十八成排的同心孔具有位于离所述面板410的所述中心约2.3-2.4英寸的径向距离处的127个气体喷射孔,第十九成排的同心孔具有位于离所述面板410的所述中心约2.4-2.5英寸的径向距离处的127个气体喷射孔,第二十成排的同心孔具有离所述面板410的所述中心约2.55-2.65英寸的径向距离处的139个气体喷射孔,第二十一成排的同心孔具有位于离所述面板410的所述中心约2.7-2.8英寸的径向距离处的159个气体喷射孔,第二十二成排的同心孔具有位于离所述面板410的所述中心约2.8-2.9英寸的径向距离处的162个气体喷射孔,在第二十三成排的同心孔具有位于离所述面板410的所述中心约3-3.1英寸的径向距离处的165个气体喷射孔,第二十四成排的同心孔具有位于离所述面板410的所述中心约3.1-3.2英寸的径向距离处的171个气体喷射孔,第二十五成排的同心孔具有位于离所述面板410的所述中心约3.2-3.3英寸的径向距离处的170个气体喷射孔,第二十六成排的同心孔具有位于离所述面板410的所述中心约3.35-3.45英寸的径向距离处的178个气体喷射孔,第二十七成排的同心孔具有位于离所述面板410的所述中心约3.5-3.6英寸的径向距离处的186个气体喷射孔,第二十八成排的同心孔具有位于离所述面板410的所述中心约3.6-3.7英寸的径向距离处的185个气体喷射孔,第二十九成排的同心孔具有位于离所述面板410的所述中心约3.75-3.85英寸的径向距离处的195个气体喷射孔,第三十成排的同心孔具有位于离所述面板410的所述中心约3.9-4英寸的径向距离处的195个气体喷射孔,第三十一成排的同心孔具有位于离所述面板410的所述中心约4-4.1英寸的径向距离处的200个气体喷射孔,第三十二成排的同心孔具有位于离所述面板410的所述中心约4.15-4.25英寸的径向距离处的202个气体喷射孔,第三十三成排的同心孔具有位于离所述面板410的所述中心约4.3-4.4英寸的径向距离处的205个气体喷射孔,第三十四成排的同心孔具有位于离所述面板410的所述中心约4.4-4.5英寸的径向距离处的210个气体喷射孔,第三十五成排的同心孔具有位于离所述面板410的所述中心约4.5-4.6英寸的径向距离处的214个气体喷射孔,第三十六成排的同心孔具有位于离所述面板410的所述中心约4.7-4.8英寸的径向距离处的215个气体喷射孔,第三十七成排的同心孔具有位于离所述面板410的所述中心约4.8-4.9英寸的径向距离处的212个气体喷射孔,在第三十八成排的同心孔具有位于离所述面板410的所述中心约4.9-5英寸的径向距离处的212个气体喷射孔,第三十九成排的同心孔具有位于离所述面板410的所述中心约5.1-5.2英寸的径向距离处的214个气体喷射孔,第四十成排的同心孔具有位于离所述面板410的所述中心约5.2-5.3英寸的径向距离处的212个气体喷射孔,第四十一成排的同心孔具有位于离所述面板410的所述中心约5.3-5.4英寸的径向距离处的210个气体喷射孔,第四十二成排的同心孔具有位于离所述面板410的所述中心约5.45-5.55英寸的径向距离处的198个气体喷射孔,第四十三成排的同心孔具有位于离所述面板410的所述中心约5.6-5.7英寸的径向距离处的160个气体喷射孔,第四十四成排的同心孔具有位于离所述面板410的所述中心约5.7-5.8英寸的径向距离处的160个气体喷射孔,以及第四十五成排的同心孔具有位于离所述面板410的所述中心约5.85-5.95英寸的径向距离处的140个气体喷射孔。
17.如权利要求15所述的喷头组件,其中
(a)所述面板包括任选的中央气体喷射孔,所述中央气体喷射孔的所述直径大于、等于或小于其余的气体喷射孔的直径;和/或
(b)所述成排的同心的气体喷射孔中的气体喷射孔中的每个具有相同的直径或在成排的同心的气体喷射孔中的所述气体喷射孔具有比相邻的成排的同心的气体喷射孔的直径大或小的直径。
18.如权利要求15所述的喷头组件,其中
(a)所述面板包括在所述腔中从所述面板的所述下壁向上延伸的多个柱,其中所述柱的上端被焊接在所述背板的相应的开口中,所述柱的所述上端位于所述相应的开口中;
(b)杆从所述喷头组件的所述背板向上延伸;以及
(c)挡板设置在所述喷头组件的所述腔中,其中所述挡板能操作以将供给到所述喷头组件的工艺气体均匀地分布在整个所述腔中。
19.一种半导体衬底等离子体处理装置,其包括权利要求15所述的喷头组件,其中所述半导体衬底等离子体处理装置是等离子体增强化学气相沉积装置或等离子体增强的原子层沉积装置。
20.如权利要求19的所述半导体衬底等离子体处理装置,其还包括控制器和机器可读介质,该机器可读介质包括用于控制所述半导体衬底等离子体处理装置的程序指令。
CN201610127551.3A 2015-03-06 2016-03-07 最小化teos氧化物膜沉积期间接缝效应的方法和装置 Active CN105938792B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110950325.6A CN113903654A (zh) 2015-03-06 2016-03-07 最小化teos氧化物膜沉积期间接缝效应的方法和装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/640,207 US9570289B2 (en) 2015-03-06 2015-03-06 Method and apparatus to minimize seam effect during TEOS oxide film deposition
US14/640,207 2015-03-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110950325.6A Division CN113903654A (zh) 2015-03-06 2016-03-07 最小化teos氧化物膜沉积期间接缝效应的方法和装置

Publications (2)

Publication Number Publication Date
CN105938792A true CN105938792A (zh) 2016-09-14
CN105938792B CN105938792B (zh) 2021-09-07

Family

ID=56850839

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610127551.3A Active CN105938792B (zh) 2015-03-06 2016-03-07 最小化teos氧化物膜沉积期间接缝效应的方法和装置
CN202110950325.6A Pending CN113903654A (zh) 2015-03-06 2016-03-07 最小化teos氧化物膜沉积期间接缝效应的方法和装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110950325.6A Pending CN113903654A (zh) 2015-03-06 2016-03-07 最小化teos氧化物膜沉积期间接缝效应的方法和装置

Country Status (4)

Country Link
US (1) US9570289B2 (zh)
KR (1) KR20160108176A (zh)
CN (2) CN105938792B (zh)
TW (1) TWI714557B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110273140A (zh) * 2018-03-15 2019-09-24 台湾积体电路制造股份有限公司 气体簇射头、成膜设备以及用于形成半导体结构的方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
KR102344256B1 (ko) * 2019-12-05 2021-12-27 세메스 주식회사 기판 처리 장치
US20210319981A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Faceplate with localized flow control

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
CN103597113A (zh) * 2011-05-31 2014-02-19 朗姆研究公司 用于电感耦合等离子体蚀刻反应器的气体分配喷头
CN104282530A (zh) * 2013-07-03 2015-01-14 朗姆研究公司 包括等温处理区的等离子体处理设备

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JP3208376B2 (ja) * 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
TW200526800A (en) 2003-12-15 2005-08-16 Applied Materials Inc Edge flow faceplate for improvement of CVD film properties
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
WO2006029651A1 (en) 2004-09-16 2006-03-23 S.O.I.Tec Silicon On Insulator Technologies Method of manufacturing a silicon dioxide layer
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101147920B1 (ko) 2008-05-13 2012-05-24 도쿄엘렉트론가부시키가이샤 실리콘 산화막의 성막 방법, 실리콘 산화막, 반도체 장치, 반도체 장치의 제조 방법 및 라이너 막의 성막 방법
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
KR20130087929A (ko) 2012-01-30 2013-08-07 에스케이하이닉스 주식회사 트랜치 소자분리층을 갖는 반도체소자 및 그 제조방법
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20140162384A1 (en) 2012-12-06 2014-06-12 Intermolecular Inc. PVD-ALD-CVD hybrid HPC for work function material screening
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
CN103597113A (zh) * 2011-05-31 2014-02-19 朗姆研究公司 用于电感耦合等离子体蚀刻反应器的气体分配喷头
CN104282530A (zh) * 2013-07-03 2015-01-14 朗姆研究公司 包括等温处理区的等离子体处理设备

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110273140A (zh) * 2018-03-15 2019-09-24 台湾积体电路制造股份有限公司 气体簇射头、成膜设备以及用于形成半导体结构的方法
US11041242B2 (en) 2018-03-15 2021-06-22 Taiwan Semiconductor Manufacturing Company Ltd. Gas shower head with plural hole patterns and with corresponding different plural hole densities and film formation method
CN110273140B (zh) * 2018-03-15 2022-02-11 台湾积体电路制造股份有限公司 气体簇射头、成膜设备以及用于形成半导体结构的方法

Also Published As

Publication number Publication date
CN105938792B (zh) 2021-09-07
CN113903654A (zh) 2022-01-07
KR20160108176A (ko) 2016-09-19
US20160260603A1 (en) 2016-09-08
US9570289B2 (en) 2017-02-14
TWI714557B (zh) 2021-01-01
TW201704516A (zh) 2017-02-01

Similar Documents

Publication Publication Date Title
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
US10903071B2 (en) Selective deposition of silicon oxide
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
TWI643974B (zh) 氣相沉積膜中用以減輕瑕疵狀態之方法及設備
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
CN105938792A (zh) 最小化teos氧化物膜沉积期间接缝效应的方法和装置
US20210017643A1 (en) Chamfer-less via integration scheme
US11404275B2 (en) Selective deposition using hydrolysis
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
KR20210087545A (ko) 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
KR20200101466A (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱
US20210320004A1 (en) Nitride films with improved etch selectivity for 3d nand integration
JP7290634B2 (ja) リアクタの処理バッチサイズを増加させるための方法および装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant