CN110273140A - 气体簇射头、成膜设备以及用于形成半导体结构的方法 - Google Patents

气体簇射头、成膜设备以及用于形成半导体结构的方法 Download PDF

Info

Publication number
CN110273140A
CN110273140A CN201811440405.1A CN201811440405A CN110273140A CN 110273140 A CN110273140 A CN 110273140A CN 201811440405 A CN201811440405 A CN 201811440405A CN 110273140 A CN110273140 A CN 110273140A
Authority
CN
China
Prior art keywords
hole
thickness
shower head
film
hole density
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811440405.1A
Other languages
English (en)
Other versions
CN110273140B (zh
Inventor
黄志辉
李升展
周正贤
蔡正原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110273140A publication Critical patent/CN110273140A/zh
Application granted granted Critical
Publication of CN110273140B publication Critical patent/CN110273140B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02317Manufacturing methods of the redistribution layers by local deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0236Shape of the insulating layers therebetween
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • H01L2924/35121Peeling or delaminating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/37Effects of the manufacturing process
    • H01L2924/37001Yield

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明实施例涉及气体簇射头、成膜设备以及用于形成半导体结构的方法。根据本发明的一些实施例,一种成膜设备包含反应室、安置于所述反应室内部并经配置以支撑衬底的底座,及在所述底座上方的气体簇射头。所述气体簇射头包含多个第一孔及安置于所述气体簇射头的圆周与所述第一孔之间的多个第二孔。所述第一孔经布置以形成第一图案且经配置以在所述衬底上形成材料膜的第一部分。所述第二孔经布置以形成第二图案且经配置以在所述衬底上形成所述材料膜的第二部分。所述第二图案的孔密度大于所述第一图案的孔密度。

Description

气体簇射头、成膜设备以及用于形成半导体结构的方法
技术领域
本发明实施例涉及气体簇射头、成膜设备以及用于形成半导体结构的方法。
背景技术
在半导体行业中,诸如化学气相沉积(CVD)设备的用于形成膜的设备已用以在衬底上方沉积各种层。然而,对于较大晶片大小,对膜轮廓的控制变得更困难且CVD具有膜轮廓均匀性的问题,诸如膜中突起及/或凹陷的出现。对簇射头与衬底之间的间隔、电力供应器或稀释气体的流量的控制尚未提供令人满意的控制。
发明内容
本发明的实施例揭示一种气体簇射头,其包括:板;多个中心孔,其具有第一孔密度,所述多个中心孔安置于所述板的中心区域中且经配置以形成材料膜的第一部分;及多个周边孔,其具有大于所述第一孔密度的第二孔密度,所述多个周边孔在所述板的周边区域中且经配置以形成所述材料膜的第二部分,其中所述材料膜的所述第一部分包括对应于所述中心区域中的所述第一孔密度的第一厚度,且所述材料膜的所述第二部分包括对应于所述周边区域中所述第二孔密度并大于所述第一厚度的第二厚度。
本发明的实施例揭示一种成膜设备,其包括:反应室;底座,其安置于所述反应室内部且经配置以支撑衬底;及气体簇射头,其在所述底座上方,所述气体簇射头包括多个第一孔及安置于所述气体簇射头的周边与所述第一孔之间的多个第二孔,其中所述第一孔经布置以形成第一图案且经配置以在所述衬底上形成材料膜的第一部分,所述第二孔经布置以形成第二图案且经配置以在所述衬底上形成所述材料膜的第二部分,且所述第二图案的孔密度大于所述第一图案的孔密度。
本发明的实施例揭示一种用于形成半导体结构的方法,其包括:提供衬底;在所述衬底上形成绝缘膜,所述绝缘膜包括第一部分及在所述第一部分与所述绝缘膜的周边之间的第二部分;及在所述绝缘膜上形成至少一再分布层(RDL),其中所述绝缘膜的所述第一部分包括第一厚度,所述绝缘膜的所述第二部分包括第二厚度,所述第二厚度大于所述第一厚度,且所述绝缘膜的所述第二部分形成所述绝缘膜的最顶表面。
附图说明
当结合附图阅读时,从以下详细描述最佳地理解本揭示的方面。应注意,根据业界中的标准惯例,各种特征未按比例绘制。事实上,可出于论述清楚起见,而任意地增加或减小各种特征的尺寸。
图1为说明在一或多个实施例中的根据本揭示的方面的成膜设备的示意图。
图2说明根据本发明的实施例的簇射头设计。
图3为沿着图2的线A-A'截取的放大横截面图。
图4为根据本发明的实施例的对应于图2的簇射头的膜轮廓。
图5说明根据本发明的实施例的簇射头设计。
图6说明根据本发明的实施例的簇射头设计。
图7说明根据本发明的实施例的对应于图6的簇射头的膜轮廓。
图8展示表示在一或多个实施例中根据本揭示的方面用于形成半导体结构的方法的流程图。
图9至11B为在一或多个实施例中根据本发明的方面构建的各个制造阶段处的半导体结构的一系列横截面图。
具体实施方式
以下揭示内容提供用于实施所提供的主题的不同特征的许多不同实施例或实例。下文描述元件及布置的特定实例以简化本揭示。当然,这些元件及布置仅为实例且不意在限制性。举例来说,在以下描述中,第一特征在第二特征上方或上的形成可包含第一特征及第二特征直接接触地形成的实施例,且还可包含额外特征可在第一特征与第二特征之间形成,使得第一特征及第二特征可不直接接触的实施例。另外,本揭示可在各种实例中重复参考标号及/或字母。此重复是出于简化及清楚的目的且本身并不指示所论述的各种实施例及/或配置之间的关系。
另外,为易于描述,诸如“在…下方”、“下方”、“下部”、“上方”、“上部”、“在…上”及类似者的空间相对术语可在本文中用以描述如图中所说明一个元件或特征与另一(些)元件或特征的关系。除图式中所描绘的定向以外,空间相对术语意在涵盖装置在使用或操作中的不同定向。设备可以其它方式定向(旋转90度或处于其它定向)且本文中所使用的空间相对描述词可同样相应地进行解译。
如本文所使用,诸如“第一”、“第二”及“第三”的术语描述各种元件、组件、区域、层及/或区段,这些元件、组件、区域、层及/或区段不应受这些术语限制。这些术语可仅用以区分一个元件、组件、区域、层或区段与另一元件、组件、区域、层或区段。除非上下文清楚地指示,否则诸如“第一”、“第二”及“第三”的术语当在本文中使用时并不暗示顺序或次序。
如本文中所使用,术语“大致”、“基本上”、“基本”及“约”用以描述及考虑小变化。当与事件或情形结合使用时,术语可指事件或情形明确发生的情况以及事件或情形极近似于发生的情况。举例来说,当结合数值使用时,术语可指小于或等于所述数值的±10%的变化范围,诸如,小于或等于±5%、小于或等于±4%、小于或等于±3%、小于或等于±2%、小于或等于±1%、小于或等于±0.5%、小于或等于±0.1%或者小于或等于±0.05%的变化范围。举例来说,若两个数值之间的差小于或等于所述值的平均值的±10%(诸如,小于或等于±5%、小于或等于±4%、小于或等于±3%、小于或等于±2%、小于或等于±1%、小于或等于±0.5%、小于或等于±0.1%、或小于或等于±0.05%),则可认为所述两个数值“基本上”相同。举例来说,“基本上”平行可指代相对于0°来说小于或等于±10°的角变化范围,诸如,小于或等于±5°、小于或等于±4°、小于或等于±3°、小于或等于±2°、小于或等于±1°、小于或等于±0.5°、小于或等于±0.1°或者小于或等于±0.05°的角变化范围。举例来说,“基本上”垂直可指相对于90°来说小于或等于±10°的角变化范围,诸如,小于或等于±5°、小于或等于±4°、小于或等于±3°、小于或等于±2°、小于或等于±1°、小于或等于±0.5°、小于或等于±0.1°,或小于或等于±0.05°的角变化范围。
CVD是用以在形成诸如芯片及裸片的集成电路装置中在衬底(诸如,晶片)上沉积薄半导体材料膜或层的基于化学的制程。CVD设备大体包含经配置用于容纳晶片的反应室。含有所需要膜材料化学前驱体的反应物气体经引入反应室中以形成半导体膜。反应在晶片表面上生长或沉积大体薄膜,其可包含诸如二氧化硅(SiO2)、氮氧化硅(SiON)多晶硅、氮化硅(SiN)介电质等的薄膜材料的宽阵列。
在一些实施例中,靠近晶片的边缘或周边区域形成的膜可比晶片的中心区薄。另外,晶片边缘厚度轮廓可归因于金属边缘珠粒移除(EBR)或光阻(PR)晶片边缘暴露(WEE)而下降。因此,所得不平坦性产生可靠性问题。举例来说,在一些实施例中,当两个晶片接合且晶片变薄操作是从接合晶片中的一者的背面执行以使接合晶片变薄时。然而,由于膜在晶片边缘处较薄,所以缺乏足够支撑,及因此接合晶片在晶片边缘处遭受剥离。
本揭示因此提供成膜设备及用于在衬底上形成膜以减少边缘厚度下降问题的方法。因此,获得具有靠近衬底的边缘或圆周的较粗部分的材料膜。另外,靠近衬底的边缘或圆周的较粗部分提供足够强度且在晶片变薄操作期间充当支撑。因此,边缘剥离问题得以减轻及因此制程良率得以改进。
图1为说明在一些实施例中的根据本揭示的方面的成膜设备100的示意图。在一些实施例中,成膜设备100为化学气相沉积(CVD)设备。在一些实施例中,成膜设备100为等离子增强型CVD(PECVD)设备或金属有机物CVD(MOCVD)设备。在一些实施例中,成膜设备100可为原子层沉积(ALD)设备、远程等离子增强型CVD(RPECVD)设备、液源雾化化学沉积(LSMCD)设备、炉、单晶片炉或其中提供化学、气体或等离子的其它设备(统称为“半导体处理设备”)。
参看图1,成膜设备100包含反应室110、安置于反应室110内部的底座120,及在底座120上方的气体簇射头130a、130b或130c。在一些实施例中,成膜设备100进一步包含电力供应器140及气体供应系统150。底座120安置于反应室110内部并居中定位于反应室110中。底座120经配置以支撑其上形成膜的衬底122。在一些实施例中,底座120可为经配置用于支撑衬底122且通过垂直定向的杆或轴件支撑的基本上平坦底板。底座120可包含静电卡盘、真空系统、夹钳或能够将衬底122保持于其上的其它设备。在一些实施例中,底座120可包含加热器(图中未展示)以增强成膜。在一些实施例中,底座120可包含耦合到电力供应器(图中未展示)的底部电极以便增强反应室110内的等离子。在一些实施例中,底座120可包含连接到排出泵的管道(图中未展示)以排出气体或等离子。
电力供应器140可为例如但不限于射频(RF)电力供应器或其它电力供应器。在一些实施例中,电力供应器140经配置以提供足以使从气体簇射头130a、130b或130c提供的气体124电离的高电压。另外,高电压经提供以在反应室110中产生等离子。在一些实施例中,当等离子的产生并不需要时,此电力供应器140可从成膜设备100去除。气体供应系统150耦合到气体簇射头130a、130b或130c,且可操作以分配气体124到反应室110内部的衬底122。在一些实施例中,气体供应系统150可经由各种设备(诸如,流动管线、压力调节器、阀门、质量流量控制器或其它流量控制器、集管及/或调节器)分配气体124(诸如,反应物气体或稀释气体)至气体簇射头130a、130b或130c。反应室110、底座120、电力供应器140、气体供应系统150及气体簇射头130a、130b或130c可经选择以提供所需要成膜设备100。
在一些实施例中,成膜设备100经提供以用于在衬底122上形成薄膜,在一些实施例中,衬底为(但不限于)晶片。应了解,尽管为方便起见,在本揭示中的示范性实施例中关于晶片描述衬底122,但衬底可为易于在成膜设备100中处理的半导体衬底的类型、配置或大小。因此,本揭示不仅仅限于呈半导体晶片的形式的衬底。在一些实施例中,衬底122可为硅衬底、III族至V族化合物衬底、玻璃衬底、液晶显示器衬底、印刷电路板(PCB)或与其类似的任何其它衬底。在一些实施例中,衬底122可为空白衬底或包含多种集成式装置或电路,或用于在其上形成此类装置或电路的层,尽管图中未展示。
请参看图2及3,其中图2为气体簇射头130a的仰视图,且图3为在一或多个实施例中的在本揭示的方面中沿着图2的线A-A'截取的放大横截面图。在一些实施例中,成膜设备100包含气体簇射头130a。气体簇射头130a包含板131。板131可为(但未必)对应于其中将形成膜的衬底122的形状的圆形、椭圆形、矩形、正方形或其它所需形状。在一些实施例中,气体簇射头130a的板131可包含界定于其上的中心区域132a及周边区域132b。如图2中所示,中心区域132a可包含圆形形状,而周边区域132b包含环绕中心区域132a的环形状。换句话说,周边区域132b界定于中心区域132a与板131的圆周之间,如图2中所示。中心区域132a包含半径R,且周边区域132b包含宽度W。在一些实施例中,中心区域132a的半径R大于周边区域132b的宽度W,但本揭示不限于此。
参看图2及3,在一些实施例中,气体簇射头130a包含安置于板131的中心区域132a中的多个中心孔134a,及安置于板131的周边区域132b中的多个周边孔134b。中心孔134a包含耦合至气体供应系统150的上开口136U,且周边孔134b包含耦合至气体供应系统150的上开口138U。在一些实施例中,中心孔134a的上开口136U及周边孔134b的上开口138U相同,但本揭示不限于此。中心孔134a包含用以分配气体124至衬底122的下开口136L,且周边孔134b包含用以分配气体124至衬底122的下开口138L。在一些实施例中,中心孔134a的下开口136L及周边孔134b的下开口138L相同,但本揭示不限于此。另外,中心孔134a分别包含耦合上开口136U及下开口136L的侧壁135,且周边孔134b分别包含耦合上开口138U及下开口138L的侧壁135。
在一些实施例中,中心孔134a经布置以形成第一图案,且周边孔134b经布置以形成第二图案。在一些实施例中,周边区域132b中的孔密度大于中心区域132a中的孔密度。换句话说,通过周边孔134b形成的第二图案的孔密度大于通过中心孔134a形成的第一图案的孔密度。在一些实施例中,周边区域132b中的孔密度为中心区域132a的孔密度的2至4倍,但本揭示不限于此。在一些实施例中,周边区域132b中的最大孔密度为约20孔/mm2,但本揭示不限于此。在一些实施例中,中心孔134a及周边孔134b经布置于同心圆中,但本揭示不限于此。在一些实施例中,中心孔134a及周边孔134b具有相同形状及相同孔直径,但本揭示不限于此。在一些实施例中,任何两个相邻中心孔134a之间的距离可为例如(但不限于)一致的。类似地,任何两个相邻周边孔134b之间的距离可为例如(但不限于)一致的。然而,任何两个相邻周边孔134b之间的距离小于任何两个相邻中心孔134a之间的距离,如图2中所示。中心孔134a及周边孔134b全部耦合至气体供应系统150。
参看图4,其说明通过使用气体簇射头130a基于沉积参数(诸如,所使用的前驱体气体、气体流量、制程温度及制程压力)而获得的膜轮廓。如上文所提及,耦合至气体供应系统150的中心孔134a及周边孔134b具有相同形状及直径。因此,自中心孔134a及周边孔134b量测的所使用气体、气体流量、气体温度及气体压力可为类似的。然而,由于周边区域132b中的孔密度大于中心区域132a中的孔密度,所以通过使用成膜设备100形成的材料膜可包含不同厚度。如图4中所示,通过使用成膜设备100形成的材料膜可包含对应于中心区域132a的第一部分及对应于周边区域132b的第二部分。换句话说,中心孔134a经配置以将材料膜的第一部分沉积于衬底122上,且周边孔134b经配置以将材料膜的第二部分沉积于衬底122上。因此,材料膜的第一部分可包含圆形形状而材料膜的第二部分可包含包围所述第一部分的环形状。换句话说,第二部分界定于材料膜的第一部分与圆周之间。如图4中所示,在一些实施例中,当衬底122包含约150微米(mm)的半径时,材料膜的第一部分可包含具有约145mm的半径的圆形形状而材料膜的第二部分可包含具有约5mm的宽度的环形状,但本揭示不限于此。更重要的是,第二部分的厚度大于第一部分的厚度,这是由于周边区域132b中的孔密度大于中心区域132a中的孔密度。在一些实施例中,材料膜的第二部分的厚度为材料膜的第一部分的厚度两倍,但本揭示不限于此。在一些实施例中,材料膜的第二部分的厚度大于材料膜的第一部分的厚度约至约但本揭示不限于此。在一些实施例中,材料膜的轮廓包含U形状,如图4中所示,但本揭示不限于此。
请参看图5,其为气体簇射头130b的仰视图。在一些实施例中,成膜设备100包含气体簇射头130b。气体簇射头130b包含板131。板131可为(但不必一定)对应于其中将形成膜的衬底122的形状的圆形、椭圆形、矩形、正方形或其它所需形状。在一些实施例中,气体簇射头130b的板131可包含经界定于其上的中心区域132a、周边区域132b及中间区域132c。如图5中所示,中心区域132a可包含圆形形状,中间区域132c可包含包围中心区域132a的环形状,且周边区域132b可包含包围中心区域132a及中间区域132c两者的环形状。换句话说,周边区域132b界定于中心区域132a与板131的圆周之间,且中间区域132c系在周边区域132b与中心区域132a之间,如图5中所示。中心区域132a包含半径R,周边区域132b包含宽度Wp,且中间区域132c包含宽度Wm。在一些实施例中,中心区域132a的半径R大于周边区域132b的宽度Wp及中间区域132c的宽度Wm,但本揭示不限于此。在一些实施例中,周边区域132b的宽度Wp基本上等于中间区域132c的宽度Wm,但本揭示不限于此。另外,中心孔134a、中间孔134c及周边孔134b分别包含耦合至气体供应系统150的上开口、分配气体124至衬底122的下开口,及耦合上开口及下开口的侧壁,如图3中所示,但为简单起见省略所述细节。
参看图5,在一些实施例中,气体簇射头130b包含安置于板131的中心区域132a中的多个中心孔134a、安置于板131的周边区域132b中的多个周边孔134b,及安置于板131的中间区域132c中的多个中间孔134c。中心孔134a经布置以形成第一图案,周边孔134b经布置以形成第二图案,且中间孔134c经布置以形成第三图案。在一些实施例中,通过中心孔134a形成的第一图案包含第一孔密度,通过周边孔134b形成的第二图案包含第二孔密度,且通过中间孔134c形成的第三图案包含第三孔密度。在一些实施例中,第二孔密度大于第一孔密度,且第三孔密度是在第一孔密度与第二孔密度之间。在一些实施例中,通过周边孔134b形成的第二图案的最大孔密度为约20孔/mm2,但本揭示不限于此。在一些实施例中,中心孔134a、中间孔134c及周边孔134b经布置于同心圆中,但本揭示不限于此。在一些实施例中,中心孔134a可视为第一孔134a而中间孔134c及周边孔134b可视为安置于与气体簇射头130b的圆周与第一孔134a之间的第二孔134b及134c。通过第二孔134b及134c形成的图案的孔密度大于第一孔134a的密度。在一些实施例中,通过第二孔134b及134c形成的图案的孔密度为通过第一孔134a形成的图案的孔密度的至少2至4倍。在一些实施例中,第一孔134a及第二孔134b及134c距气体簇射头130b的中心及气体簇射头130b的圆周布置于同心圆中,且通过第二孔134c及134b形成的图案的孔密度朝外增加,如图5中所示,但本揭示不限于此。在一些实施例中,中心孔134a、中间孔134c及周边孔134b具有相同形状及相同孔直径,但本揭示不限于此。在一些实施例中,任何两个相邻中心孔134a之间的距离可为例如(但不限于)一致的。类似地,任何两个相邻周边孔134b之间的距离可为例如(但不限于)一致的。且任何两个相邻中间孔134c之间的距离可为例如(但不限于)一致的。然而,任何两个相邻周边孔134b之间的距离小于任何两个相邻中间孔134c之间的距离,且任何两个相邻中间孔134c之间的距离小于任何两个相邻中心孔134a之间的距离,如图5中所示。另外,中心孔134a、中间孔134c及周边孔134b全部耦合至气体供应系统150。
请参看图6,其为气体簇射头130c的仰视图。在一些实施例中,成膜设备100包含气体簇射头130c。气体簇射头130b包含板131。板131可为(但不必一定)对应于其中将形成膜的衬底122的形状的圆形、椭圆形、矩形、正方形或其它所需形状。在一些实施例中,气体簇射头130c的板131可包含经界定于其上的中心区域132a、周边区域132b、第一中间区域132c及第二中间区域132d。如图6中所示,中心区域132a可包含圆形形状,第二中间区域132d包含包围中心区域132a的环形状,第一中间区域132c包含包围中心区域132a及第二中间区域132d的环形状,且周边区域132b包含包围中心区域132a、第二中间区域132d及第一中间区域132c的环形状。换句话说,周边区域132b界定于中心区域132a与板131的圆周之间,第一中间区域132c系在周边区域132b与中心区域132a之间,且第二中间区域132d系在第一中间区域132c与中心区域132a之间,如图6中所示。中心区域132a包含半径R,周边区域132b包含宽度Wp,第一中间区域132c包含宽度Wm1,且第二中间区域132d包含宽度Wm2。在一些实施例中,中心区域132a的半径R大于周边区域132b的宽度Wp及第一中间区域132c的宽度Wm1及第二中间区域132d的宽度Wm2,但本揭示不限于此。在一些实施例中,周边区域132b的宽度Wp、第一中间区域132c的宽度Wm1及第二中间区域132d的宽度Wm2可基本上相同,但本揭示不限于此。应容易地认识到周边区域132b的宽度Wp、第一中间区域132c的宽度Wm1及第二中间区域132d的宽度Wm2可取决于不同制程需求而调整。
另外,尽管存在所提及并描绘的两个中间区域132c及132d,但所属领域的技术人员将容易地认识到中间区域可取决于不同制程需求而从一个中间区域进一步划分成多个中间区域。
参看图6,在一些实施例中,气体簇射头130c包含安置于板131的中心区域132a中的多个中心孔134a、安置于板131的周边区域132b中的多个周边孔134b、安置于板131的第一中间区域132c中的多个第一中间孔134c,及安置于板131的第二中间区域132d中的多个第二中间孔134d。另外,中心孔134a、第一中间孔134c、第二中间孔134d及周边孔134b分别包含耦合至气体供应系统150的上开口、分配气体124至衬底122的下开口,及耦合上开口与下开口的侧壁,如图3中所示,但为简单起见,省略所述细节。
在一些实施例中,中心孔134a经布置以形成包含第一孔密度的第一图案,周边孔134b经布置以形成包含第二孔密度的第二图案,第一中间孔134c经布置以形成包含第三孔密度的第三图案,且第二中间孔134d经布置以形成包含第四孔密度的第四图案。在一些实施例中,由周边孔134b形成的第二图案的第二孔密度大于由第一中间孔134c形成的第三图案的第三孔密度,第三孔密度大于由第二中间孔134d形成的第四图案的第四孔密度,且第四孔密度大于由中心孔134a形成的第一图案的第一密度。在一些实施例中,中心孔134a、第二中间孔134d、第一中间孔134c及周边孔134b经布置于同心圆中,但本揭示不限于此。在一些实施例中,将中心孔134a视为第一孔134a,而将第一中间孔134c、第二中间孔134d及周边孔134b视为安置于气体簇射头130c的圆周与第一孔134a之间的第二孔134b/134c/134d。由第二孔134b/134c/134d形成的图案的孔密度大于由第一孔134a形成的图案的孔密度。在一些实施例中,由第二孔134b/134c/134d形成的图案的孔密度为由第一孔134a形成的图案的孔密度的至少2至4倍。在一些实施例中,第一孔134a及第二孔134b/134c/134d距气体簇射头130c的中心及气体簇射头130c的圆周布置于同心圆中,且由第二孔134b/134c/134d形成的图案的孔密度朝外向气体簇射头130c的周边增加,如图6中所示,但本揭示不限于此。在一些实施例中,中心孔134a、第一中间孔134c、第二中间孔134d及周边孔134b具有相同形状及相同孔直径,但本揭示不限于此。在一些实施例中,任何两个相邻中心孔134a之间的距离可为例如(但不限于)一致的。类似地,任何两个相邻周边孔134b之间的距离可为一致的,任何两个相邻第一中间孔134c之间的距离可为一致的,且任何两个相邻第二中间孔134d之间的距离可为一致的。然而,任何两个相邻周边孔134b之间的距离小于任何两个相邻第一中间孔134c之间的距离,任何两个相邻第一中间孔134c之间的距离小于任何两个相邻第二中间孔134d之间的距离,且任何两个相邻第二中间孔134d之间的距离小于任何两个相邻中心孔134a之间的距离,如图6中所示。另外,中心孔134a、第一中间孔134c、第二中间孔134d及周边孔134b全部耦合至气体供应系统150。
参看图7,其说明通过使用气体簇射头130c基于沉积参数(诸如,所使用的前驱体气体、气体流量、制程温度及制程压力)而获得的膜轮廓。如上文所提及,耦合至气体供应系统150的所有孔134a、134b、134c及134d具有相同形状及直径。因此,自孔134a、134b、134c及134d量测的所使用气体、气体流量、气体温度及气体压力可为类似的。然而,由于通过孔134a、134b、134c及134d形成的图案的孔密度朝外增加,所以通过使用成膜设备100形成的材料膜可包含不同厚度。如图7中所示,通过成膜设备100形成的材料膜可包含对应于中心孔134a的第一部分、对应于周边孔134b的第二部分、对应于第一中间区域134c的第三部分及对应于第二中间区域134d的第四部分。换句话说,中心孔134a经配置以将材料膜的第一部分沉积于衬底122上,周边孔134b经配置以将材料膜的第二部分沉积于衬底122上,第一中间孔134c经配置以将材料膜的第二部分沉积于衬底122上,且第二中间孔134d经配置以将材料膜的第二部分沉积于衬底122上。因此,材料膜的第一部分可包含圆形形状而材料膜的第四部分可包含包围第一部分的环形状,第三部分可包含包围第四部分的环形状,且第二部分可包含包围第三部分的环形状。换句话说,材料膜的所述部分对应于气体簇射头130c的区域132a、132b、132c及132d或对应于通过孔134a、134b、134c及134d形成的图案而形成。如图7中所示,在一些实施例中,当衬底122包含约150mm的半径时,材料膜的厚度可自衬底122的中心向衬底122的圆周逐渐增加。在一些实施例中,材料膜的第二部分的厚度为材料膜的第一部分的厚度两倍,但本揭示不限于此。在一些实施例中,材料膜的第二部分的厚度大于材料膜的第一部分的厚度约至约但本揭示不限于此。在一些实施例中,材料膜的轮廓更像V形状,但本揭示不限于此。
请参看图8及9至11B。图8展示表示根据本揭示的方面的用于形成半导体结构的方法的流程图,且图9至11B为在一或多个实施例中根据本揭示的方面构建的在各个制造阶段处的半导体结构的一系列横截面图。本揭示中,还揭示一种用于形成半导体结构的方法200。在一些实施例中,半导体图像传感器结构300可通过方法200而形成。方法200包含若干操作且描述及说明不视为对操作的序列的限制。方法200包含如图8中所示的若干操作(202、204及206)。
在操作202中,如图9中所示接纳或提供衬底302。在一些实施例中,本文中所使用的术语“晶片”及“衬底”包含具有暴露表面的任何结构,根据本揭示在所述暴露表面上沉积一层例如以形成诸如再分布层(RDL)的电路结构。术语衬底经理解为包含半导体晶片,但不限于此。术语衬底还用以指在处理期间的半导体结构,且可包含已于其上制造的其它层。在一些实施例中,衬底302可包含硅、硅锗、硅碳、III族至V族合成半导体材料,或其类似者。衬底302可包含有源组件或电路,诸如导电特征、植入区域、电阻器、电容器及其它半导体元件(例如,晶体管、二极管等)。举例来说,所述有源组件或电路在一些实施例中可在前段制程(FEOL)操作中形成于衬底302上方。举例来说,互连件结构(图中未展示)在一些实施例中可在后段制程(BEOL)操作中形成于衬底302上方。互连件结构包含导电特征,诸如形成于绝缘材料中的导线及通孔。在一些实施例中,绝缘材料可包含多个介电层。
在一些实施例中,衬底302定位在包含气体簇射头103a、103b或103c的成膜设备100中。在步骤304中,绝缘膜304通过在成膜设备100中执行成膜操作而形成于衬底302上。在一些实施例中,绝缘膜可包含SiO、SiN、SiON或未掺杂硅石玻璃(USG),但本揭示不限于此。如上文所提及,由于气体簇射头103a、103b或103c包含安置于包围其中安置第一孔的中心区域的区域中的第二孔,且第二孔的密度大于第一孔的密度,所以绝缘膜304可包含不同厚度。
请参看图10A及10B。在一些实施例中,绝缘膜304可包含至少一第一部分310及安置于所述第一部分310与绝缘膜304的边缘或圆周之间的第二部分312。在一些实施例中,第一部分310对应于气体簇射头103a、103b或103c的第一孔而形成而第二部分312对应于气体簇射头103a、103b或103c的第二孔而安置。因此,第一部分310包含圆形形状,且第二部分312包含包围第一部分310的环形状。另外,绝缘膜304的第一部分310包含第一厚度T1,且绝缘膜304的第二部分312包含第二厚度T2。在一些实施例中,第二部分312的第二厚度T2大于第一厚度T1,如图10A中所示。在一些实施例中,第二部分312的第二厚度T2向绝缘膜304的圆周逐渐增加,如图10B中所示。在一些实施例中,第二部分312的第二厚度T2为第一部分310的第一厚度T1的2至4倍。在一些实施例中,第二部分312的第二厚度T2大于第一部分310的第一厚度T1约至约但本揭示不限于此。换句话说,厚度差ΔT形成于第一厚度T1与第二厚度T2之间,且厚度差ΔT是在约与约之间,但本揭示不限于此。在一些实施例中,第二部分312包含如图10A及10B中所示的最顶表面314。最顶表面314包含包围第一部分310的环形状且具有自绝缘膜304的边缘或圆周量测的宽度Wi,如图10A及10B中所示。在一些实施例中,衬底302包含半径R,且第二部分312的最顶表面314的宽度Wi为衬底302的半径R的基本上3%至20%。在一些实施例中,当衬底302的半径R为约150mm时,第二部分312的最顶表面314的宽度Wi为约5mm,但本揭示不限于此。
参看图11A及11B,在操作206中,至少一再分布层(RDL)320形成于绝缘膜304上。所述RDL320经设计以再分布或重定位接合垫以用于芯片封装。在一些实施例中,RDL320包含一或多个介电层及一或多个导电层。在一些实施例中,新的接合垫(图中未展示)在所需位置处经由RDL320暴露。在一些实施例中,所述介电层及导电层可归因于金属边缘珠粒移除及光阻晶片边缘暴露而靠近衬底302的边缘或圆周来移除,且因此环状空位可环绕RDL320形成。然而,此空位可以绝缘膜304的第二部分312填充,如图11A及11B中所示。换句话说,第二部分312补偿介电层或导电层的缺少。在一些实施例中,RDL320的顶部表面322低于绝缘膜304的第二部分312的最顶表面314或与其基本上齐平,但本揭示不限于此。
在一些实施例中,衬底302可接合至还包含通过使用成膜设备100形成的绝缘膜的另一衬底。在一些实施例中,两个衬底与RDL介面彼此接合。在一些实施例中,晶片变薄操作可从接合衬底中的一者的背面执行。在一些实施例中,较粗第二部分312补偿归因于金属边缘珠粒移除及光阻晶片边缘暴露的介电层或导电层的缺少,且因此在晶片变薄操作期间提供足够强度。
因此,本揭示因此提供成膜设备及用于在衬底上形成膜的方法,及用于形成能够减少边缘厚度下降问题的半导体结构的方法。因此,获得具有靠近衬底的边缘或圆周的较粗部分的材料膜。另外,靠近衬底的边缘或圆周的较粗部分提供足够强度且在晶片变薄操作期间充当支撑。因此,边缘剥离问题得以减轻及因此制程良率得以改进。
在一些实施例中,提供一种气体簇射头。所述气体簇射头包含一板、安置于所述板的中心区域中的多个中心孔,及安置于板的周边区域中的多个周边孔。在一些实施例中,中心孔经配置以形成材料膜的第一部分,且周边孔经配置以形成材料膜的第二部分。在一些实施例中,周边区域中的孔密度大于中心区域中的孔密度。在一些实施例中,材料膜的第一部分包含对应于中心区域中的孔密度的第一厚度,且材料膜的第二部分包含对应于周边区域中的孔密度并大于第一厚度的第二厚度。
在一些实施例中,提供一种成膜设备。所述成膜设备包含反应室、安置于所述反应室内部并经配置以支撑衬底的底座,及在所述底座上方的气体簇射头。在一些实施例中,气体簇射头包含多个第一孔及安置于气体簇射头的圆周与所述第一孔之间的多个第二孔。在一些实施例中,第一孔经布置以形成第一图案且经配置以在衬底上形成材料膜的第一部分,第二孔经布置以形成第二图案且经配置以在衬底上形成材料膜的第二部分。在一些实施例中,第二图案的孔密度大于第一图案的孔密度。
在一些实施例中,提供一种用于形成半导体结构的方法。所述方法包含以下操作。提供衬底。绝缘膜形成于衬底上。在一些实施例中,绝缘膜包含第一部分及在所述第一部分与绝缘膜的圆周之间的第二部分。绝缘膜的第一部分包含第一厚度且绝缘膜的第二部分包含第二厚度。在一些实施例中,第二厚度大于第一厚度。在一些实施例中,绝缘膜的第二部分形成绝缘膜的最顶表面。
前文概述若干实施例的特征,从而使得在所属领域的技术人员可较好地理解本揭示的方面。所属领域的技术人员应理解,其可易于使用本揭示作为设计或修改用于实现本文中所引入的实施例的相同目的及/或达成相同优点的其它方法及结构的基础。所属领域的技术人员还应认识到,此类等效构造并不脱离本揭示内容的精神及范围,且所属领域的技术人员可在不脱离本揭示内容的精神及范围的情况下在本文中作出改变、替代及更改。
符号说明
100 成膜设备
110 反应室
120 底座
122 衬底
124 气体
130a 气体簇射头
130b 气体簇射头
130c 气体簇射头
131 板
132a 中心区域
132b 周边区域
132c 第一中间区域
132d 第二中间区域
134a 中心孔
134b 周边孔
134c 第一中间孔
134d 第二中间孔
135 侧壁
136L 下开口
136U 上开口
138L 下开口
138U 上开口
140 电力供应器
150 气体供应系统
200 方法
302 衬底
304 绝缘膜
310 第一部分
312 第二部分
314 最顶表面
320 再分布层(RDL)
322 顶部表面

Claims (10)

1.一种气体簇射头,其包括:
板;
多个中心孔,其具有第一孔密度,所述多个中心孔安置于所述板的中心区域中且经配置以形成材料膜的第一部分;及
多个周边孔,其具有大于所述第一孔密度的第二孔密度,在所述板的周边区域中,且经配置以形成所述材料膜的第二部分,
其中所述材料膜的所述第一部分包括对应于所述中心区域中的所述第一孔密度的第一厚度,且所述材料膜的所述第二部分包括对应于所述周边区域中所述第二孔密度并大于所述第一厚度的第二厚度。
2.根据权利要求1所述的气体簇射头,其进一步包括至少多个中间孔,所述多个中间孔具有安置于在所述周边区域与所述中心区域之间的中间区域中的第三孔密度,其中所述中间区域中的所述第三孔密度在所述中心区域中的所述第一孔密度与所述周边区域中的所述第二孔密度之间。
3.根据权利要求2所述的气体簇射头,其中所述中间区域的宽度基本上等于所述周边区域的宽度。
4.一种成膜设备,其包括:
反应室;
底座,其安置于所述反应室内部且经配置以支撑衬底;及
气体簇射头,其在所述底座上方,所述气体簇射头包括多个第一孔及安置于所述气体簇射头的圆周与所述第一孔之间的多个第二孔,
其中所述第一孔经布置以形成第一图案且经配置以在所述衬底上形材料膜的第一部分,所述第二孔经布置以形成第二图案且经配置以在所述衬底上形成所述材料膜的第二部分,且所述第二图案的孔密度大于所述第一图案的孔密度。
5.根据权利要求4所述的成膜设备,其中所述第一部分的厚度对应于所述第一图案的所述孔密度,所述第二部分的厚度对应于所述第二图案的所述孔密度,且所述第二部分的所述厚度大于所述第一部分的厚度。
6.根据权利要求4所述的成膜设备,其中所述第一孔及所述第二孔从所述气体簇射头的中心至所述气体簇射头的所述圆周而布置于同心圆中。
7.根据权利要求4所述的成膜设备,其中所述第二图案的所述孔密度向所述气体簇射头的所述圆周朝外增加。
8.一种用于形成半导体结构的方法,其包括:
提供衬底;
在所述衬底上形成绝缘膜,所述绝缘膜包括第一部分及在所述第一部分与所述绝缘膜的圆周之间的第二部分;及
在所述绝缘膜上形成至少一再分布层RDL,
其中所述绝缘膜的所述第一部分包括第一厚度,所述绝缘膜的所述第二部分包括第二厚度,所述第二厚度大于所述第一厚度,且所述绝缘膜的所述第二部分形成所述绝缘膜的最顶表面。
9.根据权利要求8所述的方法,其中所述第二厚度向所述绝缘膜的所述圆周逐渐增加。
10.根据权利要求8所述的方法,其中所述RDL的顶部表面低于所述绝缘膜的所述第二部分的所述最顶表面或与其基本上齐平。
CN201811440405.1A 2018-03-15 2018-11-29 气体簇射头、成膜设备以及用于形成半导体结构的方法 Active CN110273140B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/922,250 2018-03-15
US15/922,250 US10526703B2 (en) 2018-03-15 2018-03-15 Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities

Publications (2)

Publication Number Publication Date
CN110273140A true CN110273140A (zh) 2019-09-24
CN110273140B CN110273140B (zh) 2022-02-11

Family

ID=67903906

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811440405.1A Active CN110273140B (zh) 2018-03-15 2018-11-29 气体簇射头、成膜设备以及用于形成半导体结构的方法

Country Status (3)

Country Link
US (2) US10526703B2 (zh)
CN (1) CN110273140B (zh)
TW (1) TWI710663B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US12020907B2 (en) * 2020-04-09 2024-06-25 Applied Materials, Inc. Faceplate with localized flow control
CN113818005A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种薄膜制备设备及方法
CN113564591B (zh) * 2021-08-03 2022-08-09 江苏鹏举半导体设备技术有限公司 一种多孔气体分流板镀膜系统

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
CN1961097A (zh) * 2004-06-29 2007-05-09 英特尔公司 提供均匀低k电介质的淀积装置
CN100342057C (zh) * 2002-01-25 2007-10-10 应用材料有限公司 气体分配喷头
CN201898113U (zh) * 2009-04-07 2011-07-13 朗姆研究公司 具有中心定位特征的喷淋头电极及其组件
KR20150075955A (ko) * 2013-12-26 2015-07-06 엘지디스플레이 주식회사 대면적기판 처리장치
WO2015134120A1 (en) * 2014-03-03 2015-09-11 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
CN105938792A (zh) * 2015-03-06 2016-09-14 朗姆研究公司 最小化teos氧化物膜沉积期间接缝效应的方法和装置
CN106906453A (zh) * 2015-12-14 2017-06-30 朗姆研究公司 喷头组件
CN107012447A (zh) * 2017-04-20 2017-08-04 京东方科技集团股份有限公司 一种扩散装置和沉积腔室
CN107267958A (zh) * 2016-03-31 2017-10-20 台湾积体电路制造股份有限公司 喷头

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
TWI563582B (en) * 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
KR101937115B1 (ko) * 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
KR101573897B1 (ko) 2013-12-26 2015-12-11 대상에프앤에프 주식회사 내산성 및 내염성이 우수한 류코노스톡 메센테로이드 dsr1310 균주 및 이의 용도
US9390910B2 (en) * 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US10626499B2 (en) * 2017-07-28 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition device structure
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102404061B1 (ko) * 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
CN100342057C (zh) * 2002-01-25 2007-10-10 应用材料有限公司 气体分配喷头
CN1961097A (zh) * 2004-06-29 2007-05-09 英特尔公司 提供均匀低k电介质的淀积装置
CN201898113U (zh) * 2009-04-07 2011-07-13 朗姆研究公司 具有中心定位特征的喷淋头电极及其组件
KR20150075955A (ko) * 2013-12-26 2015-07-06 엘지디스플레이 주식회사 대면적기판 처리장치
WO2015134120A1 (en) * 2014-03-03 2015-09-11 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
CN105938792A (zh) * 2015-03-06 2016-09-14 朗姆研究公司 最小化teos氧化物膜沉积期间接缝效应的方法和装置
CN106906453A (zh) * 2015-12-14 2017-06-30 朗姆研究公司 喷头组件
CN107267958A (zh) * 2016-03-31 2017-10-20 台湾积体电路制造股份有限公司 喷头
CN107012447A (zh) * 2017-04-20 2017-08-04 京东方科技集团股份有限公司 一种扩散装置和沉积腔室

Also Published As

Publication number Publication date
TWI710663B (zh) 2020-11-21
US20190284695A1 (en) 2019-09-19
US11041242B2 (en) 2021-06-22
US10526703B2 (en) 2020-01-07
CN110273140B (zh) 2022-02-11
TW201938836A (zh) 2019-10-01
US20200141004A1 (en) 2020-05-07

Similar Documents

Publication Publication Date Title
CN110273140A (zh) 气体簇射头、成膜设备以及用于形成半导体结构的方法
US9373499B2 (en) Batch-type remote plasma processing apparatus
US9932674B2 (en) Film deposition apparatus, film deposition method, and computer-readable recording medium
US8967082B2 (en) Plasma processing apparatus and gas supply device for plasma processing apparatus
US20100099266A1 (en) Etch reactor suitable for etching high aspect ratio features
US9777369B2 (en) Method of depositing a film, recording medium, and film deposition apparatus
US20040159286A1 (en) Plasma treatment device
JP2007273747A (ja) 基板処理装置および処理ガス吐出機構
KR20010070484A (ko) 플라즈마 처리장치
US11367597B2 (en) Electrostatic chuck and plasma processing apparatus including the same
JP2007270232A (ja) 基板処理装置および基板載置台
CN110047775A (zh) 半导体装置制造设备与制造方法
US11488810B2 (en) Showerhead shroud
TW200847243A (en) Apparatus and method for forming film
WO2001099171A1 (fr) Dispositif de fourniture de gaz et dispositif de traitement
JP2013065872A (ja) 半導体装置の製造方法および基板処理装置
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
US20100330301A1 (en) Apparatus and method for processing substrate
JP4384645B2 (ja) 処理管
JP4267506B2 (ja) プラズマ処理装置
KR20070093187A (ko) 기판 척킹 라인과 콘택하는 원통형의 오링을 구비한 히터어셈블리
US20210017645A1 (en) Resolving spontaneous arcing during thick film deposition of high temperature amorphous carbon deposition
JP2022133762A (ja) 成膜方法、処理装置及び処理システム
CN114975074A (zh) 一种多晶硅薄膜的制造方法及半导体器件的制造方法
KR20000038419A (ko) 공핍효과를 제거한 수평저압화학증착장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant