KR20160108176A - Teos 옥사이드 막 증착 동안 심 효과를 최소화하기 위한 방법 및 장치 - Google Patents

Teos 옥사이드 막 증착 동안 심 효과를 최소화하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20160108176A
KR20160108176A KR1020160024927A KR20160024927A KR20160108176A KR 20160108176 A KR20160108176 A KR 20160108176A KR 1020160024927 A KR1020160024927 A KR 1020160024927A KR 20160024927 A KR20160024927 A KR 20160024927A KR 20160108176 A KR20160108176 A KR 20160108176A
Authority
KR
South Korea
Prior art keywords
gas injection
center
injection holes
inches
radial distance
Prior art date
Application number
KR1020160024927A
Other languages
English (en)
Inventor
아를 엔. 다스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160108176A publication Critical patent/KR20160108176A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • H01L21/205
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)

Abstract

반도체 기판 플라즈마 프로세싱 장치 내의 반도체 기판 상에서 수행된 트렌치 충진 프로세스 동안 증착된 TEOS 옥사이드 막의 심 효과를 최소화하는 방법은 반도체 기판 플라즈마 프로세싱 장치의 진공 챔버 내의 페데스탈 상에 반도체 기판을 지지하는 단계를 포함한다. TEOS, 산화제, 및 아르곤을 포함하는 프로세스 가스는 샤워헤드 어셈블리의 대면 플레이트를 통해 진공 챔버의 프로세싱 구역 내로 흐른다. RF 에너지는 프로세스 가스를 플라즈마로 에너자이징하고 (energize) TEOS 옥사이드 막은 반도체 기판의 적어도 하나의 트렌치를 충진하도록 반도체 기판 상에 증착된다. 아르곤은 반도체 기판의 중심을 향하는 TEOS 옥사이드 막의 증착 레이트가 증가되고 적어도 하나의 트렌치 내의 증착된 TEOS 옥사이드 막의 심 효과가 감소되도록 플라즈마의 전자 밀도를 증가시키는데 충분한 양으로 공급된다.

Description

TEOS 옥사이드 막 증착 동안 심 효과를 최소화하기 위한 방법 및 장치{METHOD AND APPARATUS TO MINIMIZE SEAM EFFECT DURING TEOS OXIDE FILM DEPOSITION}
본 명세서에 개시된 실시예들은 반도체 기판 프로세싱 동안 반도체 기판 상에 유전체 막을 증착하기 위한 방법들 및 장치에 관한 것이고, 보다 구체적으로 TEOS 옥사이드 막 증착 동안 심 (seam) 효과를 최소화하기 위한 방법들 및 장치에 관한 것이다.
TEOS (tri-ethoxy-organo-silicate) 는 상온에서 액체인 실리콘-함유 화합물이다. TEOS는 예를 들어, 실란 대신에 기판 상에 유전체 막을 증착하도록 많은 애플리케이션들에서 사용된다. TEOS CVD (chemical vapor deposition) 프로세스들에 의해 증착된 실리콘 다이옥사이드 (또는 "TEOS 옥사이드") 막들이 양호한 컨포멀성 (conformality) 을 갖기 때문에 TEOS는 컨포멀성이 요구되는 애플리케이션들에서 사용된다. TEOS 옥사이드는 종종 PECVD (plasma enhanced chemical vapor deposition) 프로세스에 의해 증착된다.
TEOS-기반 PECVD 프로세스들은 통상적으로 TEOS 및 산소 또는 오존과 같은 산화제를 포함한 프로세스 가스에 기판을 노출시키는 것을 수반한다. 반도체 기판 프로세싱의 트렌치 충진 프로세스들 동안 TEOS 옥사이드 증착은, 트렌치의 측벽 상에 증착되었던 증착된 재료의 오버행 (overhang) 에 기인하여 증착된 막 내에 형성되는 보이드들 및/또는 심들을 야기할 수도 있는 고르지 않은 증착 및 단차 커버리지의 형성 (즉, 심 효과) 을 발생시킬 수도 있다. 따라서, TEOS 옥사이드 막들을 증착하기 위해 고 증착 레이트, 고순도, 프로세스들을 갖는 것이 바람직할 것이고, 심 효과와 같은 결함들이 최소화된다.
반도체 기판 플라즈마 프로세싱 장치 내의 반도체 기판 상에서 수행된 트렌치 충진 프로세스 동안 증착된 TEOS 옥사이드 막의 심 효과를 최소화하는 방법이 본 명세서에 개시된다. 방법은 반도체 기판 플라즈마 프로세싱 장치의 진공 챔버 내의 페데스탈 상에 반도체 기판을 지지하는 단계를 포함하고, 반도체 기판은 반도체 기판의 상부 표면 내에 적어도 하나의 트렌치를 포함한다. TEOS, 산화제, 및 아르곤을 포함한 프로세스 가스는 반도체 기판 플라즈마 프로세싱 장치의 샤워헤드 어셈블리의 대면 플레이트를 통해 반도체 기판의 상부 표면 위의 진공 챔버의 프로세싱 구역 내로 흐른다. RF 에너지는 프로세스 가스를 플라즈마로 에너자이징하도록 (energize) 적어도 하나의 RF 생성기를 사용하여 진공 챔버의 프로세싱 구역 내로 공급되고, TEOS 옥사이드 막은 반도체 기판의 적어도 하나의 트렌치를 충진하도록 반도체 기판의 상부 표면 상에 증착되고, 아르곤은, 반도체 기판의 중심을 향하여 TEOS 옥사이드 막의 증착 레이트가 증가되고 그리고 적어도 하나의 트렌치 내의 증착된 TEOS 옥사이드 막의 심 효과가 감소되도록 플라즈마의 전자 밀도를 증가시키기에 충분한 양으로 공급된다.
또한 반도체 기판 플라즈마 프로세싱 장치의 샤워헤드 어셈블리가 본 명세서에 개시된다. 샤워헤드 어셈블리는 하부 벽 및 하부 벽의 외측 주변부로부터 상향으로 연장하는 환형 외벽을 포함한 대면 플레이트, 및 배면 플레이트로서, 캐비티가 대면 플레이트의 하부 벽과 배면 플레이트 사이에 형성되도록 배면 플레이트의 외측 주변부가 대면 플레이트의 상향으로 연장하는 환형 벽에 용접되는 배면 플레이트를 포함한다. 대면 플레이트의 하부 벽은 하부 벽을 통해 연장하는 적어도 6,000개의 가스 주입 홀들을 포함하고, 적어도 6,000개의 가스 주입 홀들은 캐비티 내로 전달되고 적어도 6,000개의 가스 주입 홀들을 통해 배출되는 프로세스 가스가 TEOS 옥사이드 트렌치 충진 동작 동안 반도체 기판의 적어도 하나의 트렌치 내에 증착된 TEOS 옥사이드 막의 심 효과를 최소화하도록 대면 플레이트의 하부 벽 내에 공간적으로 배치된다.
도 1은 본 명세서에 개시된 바와 같이 TEOS 옥사이드 막들을 증착하는 방법들을 수행하도록 동작 가능한 반도체 기판 프로세싱 장치의 개략도를 도시한다.
도 2a는 반도체 기판의 DRAM 셀 피처 상의 종래 기술의 TEOS 옥사이드 증착 프로세스의 결과들을 도시한다.
도 2b는 본 명세서에 개시된 바와 같이 실시예에 따라 수행된 반도체 기판의 DRAM 셀 피처 상의 TEOS 옥사이드 증착 프로세스의 결과들을 도시한다.
도 3a는 반도체 기판의 DRAM 셀 피처 상의 종래 기술의 TEOS 옥사이드 증착 프로세스의 결과로서 형성된 심 각 (seam angle) 을 도시한다.
도 3b는 반도체 기판의 DRAM 셀 피처 상의 본 명세서에 개시된 실시예에 따라 미리 형성된 TEOS 옥사이드 증착 프로세스의 결과로서 형성된 심 각을 도시한다.
도 4a 및 도 4b는 본 명세서에 개시된 바와 같이 실시예에 따른 반도체 기판 플라즈마 프로세싱 장치의 샤워헤드 어셈블리의 실시예를 예시한다.
도 5a는 본 명세서에 개시된 바와 같이 실시예에 따른 반도체 기판 플라즈마 프로세싱 장치의 샤워헤드 어셈블리의 대면 플레이트의 실시예를 예시하고, 도 5b는 도 5a의 상세사항 J의 확대도를 도시한다.
다음의 상세한 개시에서, 예시적인 실시예들이 본 명세서에 개시된 장치 및 방법들의 이해를 제공하도록 제시된다. 그러나, 당업자들에게 분명할 바와 같이, 예시적인 실시예들은 이들 특정한 상세사항들 없이 또는 대안적인 엘리먼트들 (elements) 또는 프로세스들을 사용함으로써 실시될 수도 있다. 다른 예들에서, 잘 알려진 프로세스들, 절차들, 및/또는 컴포넌트들 (components) 은 본 명세서에 개시된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 도면들에서 유사한 숫자들은 유사한 엘리먼트들을 나타낸다. 본 명세서에 사용된 바와 같이, 용어 "약"은 ± 10 %를 의미한다.
"TEOS" (tri-ethoxy-organo-silicate) 는 상온에서 액체이고 반도체 기판 플라즈마 프로세싱 장치들에서 반도체 기판들 상에 TEOS 옥사이드 막들을 증착하도록 많은 애플리케이션들에서 사용되는 실리콘-함유 화합물이다. TEOS는 양호한 컨포멀성을 요구하는 애플리케이션들을 위해, 예를 들어, 반도체 기판 표면이 리세스된 피처들 또는 다른 불규칙성들을 포함할 때 실란 대신에 종종 사용된다.
TEOS를 사용하여 증착된 실리콘 다이옥사이드 막들 (또한 TEOS 옥사이드 막들 또는 TEOS 막들로 지칭됨) 은 PECVD 프로세스들, 또는 PEALD (plasma enhanced atomic layer deposition) 프로세스들에 의해, 산화제, 통상적으로 산소 또는 오존을 함유한 프로세스 가스를 사용함으로써 증착될 수도 있다.
본 명세서에 개시된 방법들의 실시예들은 PECVD 반응기, 또는 대안적으로 PEALD 반응기에서 구현될 수 있다. 이러한 반응기들은 많은 상이한 형태들을 취할 수도 있다. 장치는 하나 이상의 반도체 기판들을 각각 하우징할 수도 있고 반도체 기판 플라즈마 프로세싱에 적합한 하나 이상의 진공 챔버들 (챔버) 또는 "반응기들" (때때로 복수의 스테이션들을 포함) 을 포함할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들에서 (규정된 위치에서 운동, 예를 들어 회전, 진동, 또는 다른 교반을 하거나 하지 않고) 반도체 기판을 유지한다. 일 실시예에서, 증착 프로세싱을 겪는 반도체 기판은 프로세싱 동안 반응기 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송된다. 예를 들어, 2,000 Å의 막이 반도체 기판의 상부 표면 상에 증착되도록 목표된다면, 500 Å의 막이 본 명세서에 개시된 실시예들에 따라 4개의 스테이션들 각각에서 반도체 기판의 상부 표면 상에 증착될 수도 있다. 대안적으로, TEOS 옥사이드 막 증착은 단일의 스테이션에서 전부 일어날 수도 있거나 총 막 두께의 임의의 부분이 임의의 수의 스테이션들에서 증착될 수도 있다.
프로세싱 동안, 반도체 기판 각각은 페데스탈 (즉, 반도체 기판 지지부), 정전 척, 및/또는 다른 기판 홀딩 장치에 의해 제 자리에 홀딩된다. 반도체 기판이 가열되게 하는 특정한 동작들을 위해, 기판 지지부 또는 페데스탈은 가열 플레이트와 같은 히터를 포함할 수도 있다.
도 1은 본 명세서에 개시된 바와 같은 실시예들을 구현하기 위해 배치된 다양한 반응기 컴포넌트들을 도시하는 단순한 블록도를 제공한다. 도시된 바와 같이, 반도체 기판 플라즈마 프로세싱 장치 (300) 는 반응기의 다른 컴포넌트들을 둘러싸고 접지된 히터 블록 (320) 과 함께 작용하는 샤워헤드 어셈블리 (314) 를 포함한 커패시터 타입 시스템에 의해 생성된 플라즈마를 담는 역할을 하는 진공 챔버 (324) 를 포함한다. 적어도 하나의 RF 생성기는 플라즈마 증착 프로세스가 진공 챔버 (324) 내에서 수행될 수도 있도록, 진공 챔버 (324) 의 프로세싱 구역 내로 공급된 프로세스 가스를 플라즈마로 에너자이징하기 위해 RF 에너지를 진공 챔버 (324) 내의 반도체 기판 (316) 의 상부 표면 위의 프로세싱 구역 내로 공급하도록 동작 가능하다. 예를 들어, 고주파수 RF 생성기 (302) 및 저주파수 RF 생성기 (304) 는 각각 RF 에너지가 진공 챔버 (324) 내의 반도체 기판 (316) 위의 프로세싱 구역에 공급될 수도 있도록 샤워헤드 어셈블리 (314) 에 연결된 매칭 네트워크 (306) 에 연결될 수도 있다. 매칭 네트워크 (306) 에 의해 진공 챔버 (324) 의 내부에 공급된 RF 에너지의 전력 및 주파수는 프로세스 가스로부터 플라즈마를 생성하기에 충분하다. 일 실시예에서, 고주파수 RF 생성기 (302) 는 약 2 내지 60 ㎒의 주파수에서 동작되고, 바람직한 실시예에서, 고주파수 RF 생성기 (302) 는 약 13.56 ㎒의 주파수에서 동작된다. 일 실시예에서, 고주파수 RF 생성기 (302) 의 전력은 약 1100 내지 1700 W이다. 일 실시예에서, 저주파수 RF 생성기 (304) 는 약 50 내지 800 ㎑, 바람직하게 약 300 내지 500 ㎑의 주파수에서 동작되고, 저주파수 RF 생성기 (304) 의 전력은 약 1,550 내지 2,400 W이다.
반응기 내에서, 반도체 기판 페데스탈 (318) 은 반도체 기판 (316) 을 지지한다. 페데스탈은 증착 프로세스 및/또는 플라즈마 처리 프로세스 동안 그리고 증착 프로세스 및/또는 플라즈마 처리 프로세스 사이에서 반도체 기판을 홀딩하고 이송하도록 척, 포크, 또는 리프트 핀들을 포함할 수 있다. 척은 산업 및/또는 연구 분야에서 사용을 위해 입수 가능한 바와 같은 정전 척, 기계 척, 진공 척, 또는 다양한 다른 타입들의 척일 수도 있다. 정전 척을 포함한 페데스탈에 대한 리프트 핀 어셈블리의 상세사항들은 전체가 참조로서 본 명세서에 인용되는, 공동으로 양도된 미국 특허 제 8,840,754 호에서 발견될 수 있다.
프로세스 가스들은 유입부 (312) 및 샤워헤드 어셈블리 (314) 를 통해 진공 챔버 (324) 내로 도입된다. 복수의 소스 가스 라인들 (310) 은 가열된 매니폴드 (308) 에 연결될 수도 있다. 가스들은 미리 혼합되거나 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들은 적절한 가스들이 플라즈마 증착 동안 전달되는 것을 보장하도록 채용된다. 화학 전구체(들)가 (액체 TEOS와 같이) 액체 형태로 전달될 때, 액체 전구체 전달 시스템 (341) 및 액체 공급 라인 (301) 과 같은 액체 플로우 제어 메커니즘들이 공급된 액체 전구체의 플로우 레이트를 제어하도록 채용될 수도 있고, 액체 전구체 전달 시스템 (341) 에 의해 공급된 액체는 가열된 매니폴드로의 액체의 이송 동안 또는 가열된 매니폴드 (308) 내에서 액체의 기화점 이상으로 가열되고 가열된 매니폴드 (308) 내에서 다른 프로세스 가스들과 혼합되고, 기화된 TEOS와 같은 기화된 액체 전구체를 포함한 프로세스 가스들은 샤워헤드 어셈블리 (314) 를 통해 진공 챔버 (324) 로 공급되고, 플라즈마 증착이 그 뒤에 수행된다. 기화 전에, 액체 TEOS와 같은 액체 전구체의 플로우 레이트는 그 뒤에 기화된 진공 챔버 내로 전달된 전구체의 양에 대응한다. 증착 장치를 위한 액체 전구체 전달 시스템의 상세사항들은 전체가 참조로서 본 명세서에 인용되는 공동으로 양도된 미국 특허 제 8,017,527 호에서 발견될 수 있다.
프로세스 가스들은 유출부 (322) 를 통해 진공 챔버 (324) 를 나갈 수도 있다. 진공 펌프 (326) (예를 들어, 1 또는 2 단계 기계 건조 펌프 및/또는 터보 분자 펌프) 는 진공 챔버 (324) 의 외부로 프로세스 가스들을 인출할 (draw) 수도 있고 쓰로틀 밸브 (throttle valve) 또는 펜듈럼 밸브 (pendulum valve) 와 같은 폐 루프 제어된 플로우 제한 디바이스에 의해 진공 챔버 (324) 내에서 적당하게 저압을 유지할 수도 있다. 바람직하게, TEOS 옥사이드 막 증착 동안, 진공 챔버 (324) 내의 압력은 약 3 내지 5 Torr, 또는 약 4 Torr로 유지된다.
상기에 설명된 바와 같이, 액체 기반 PECVD 및/또는 PEALD 프로세스들에 대한 우려들 중 하나는 TEOS 옥사이드 층 (100) 을 형성하는 TEOS 옥사이드 증착 프로세스와 같은 트렌치 충진 프로세스에서의 고르지 않은 증착 및/또는 단차 커버리지의 형성이다. 예를 들어, 도 2a에 도시된 바와 같이, 종래 기술의 TEOS 옥사이드 증착 프로세스는 TEOS 옥사이드 층 (100) 의 TEOS 옥사이드 재료로 충진되는 반도체 기판 (50) 의 DRAM 셀 피처의 트렌치 (102) 의 측벽 (103) 상에 TEOS 옥사이드 재료의 심각한 "오버 행" 증착을 생성한다. 심각한 "오버 행"은 TEOS 옥사이드 층 (100) 의 TEOS 옥사이드 재료 내에, 예를 들어, DRAM 셀 구조체 내에 형성된 "팡 (Fang)" 형상 (105) 및 날카로운 심 코너 (104) 의 형성을 야기하고, 습식 세정 프로세스와 같은 나중의 프로세싱은 보이드들, 크랙들 및 디바이스 고장을 야기할 수도 있다.
본 명세서에 개시된 방법들 및 장치의 실시예들은 반도체 기판의 DRAM 셀 피처의 형성 동안 트렌치 충진 프로세스와 같은 트렌치 충진 프로세스들 동안 TEOS 옥사이드 증착의 심 효과를 최소화한다. 예를 들어, 도 2b는 트렌치 충진 프로세스 및 습식 세정 프로세스가 반도체 기판 (250) 상에서 수행된 후 본 명세서에 개시된 바와 같은 장치 상에서 본 명세서에 개시된 실시예에 따라 프로세싱된, 프로세싱된 반도체 기판 (250) 을 도시한다. 도 2b에 도시된 바와 같이, 반도체 기판 (250) 의 DRAM 셀 구조체는 TEOS 옥사이드 층 (200) 의 증착된 TEOS 옥사이드 재료에서의 심 효과가 최소화되고 "팡" 형상이 증착된 TEOS 옥사이드 층 (200) 의 재료 내에 형성되지 않기 때문에 날카로운 심 코너를 갖지 않는다. 증착된 TEOS 옥사이드 층 (200) 의 두께는 약 23500 Å이고, 그리고 도 2b에 도시된 바와 같이, 증착된 TEOS 옥사이드 층 (200) 은 셀 피처에 걸쳐 균일하고, 그리고 심 효과의 감소 (최소화) (즉, 크랙 전파 및 보이드 형성을 위한 핵생성 지점의 역할을 할 수도 있는 심 각의 감소) 가 있다.
일 실시예에서, TEOS 옥사이드를 증착하는 방법은 PECVD 또는 PEALD 장치와 같은 반도체 기판 플라즈마 프로세싱 장치의 진공 챔버 내에 반도체 기판을 제공하는 단계를 포함한다. 반도체 기판은 바람직하게 적어도 약 300 ㎜의 직경을 갖는 반도체 웨이퍼이다. TEOS 옥사이드 막들의 애플리케이션들의 예들은 이하에 기술된다. 또한 증기 형태의 TEOS (TEOS 가스), 산화제, 헬륨, 및 아르곤을 포함한 프로세스 가스가 진공 챔버로 도입된다. 산화제들의 예들은 산소 및 오존을 포함할 수 있다. 프로세스 가스는 또한 이산화탄소를 포함한 하나 이상의 도펀트 가스들을 포함할 수도 있다. 또한 TEOS 옥사이드 막은 고 증착 레이트의 PECVD 또는 PEALD 반응에 의해 기판 표면 상에 증착될 수도 있다.
초기 증착 레이트들은 바람직하게 적어도 약 7,000 Å/분이다. 일 실시예에서, 초기 증착 레이트들은 적어도 약 8,000 Å/분, 약 9,000 Å/분, 약 9,500 Å/분, 9,700 Å/분, 및 10,000 Å/분이다. 증착 레이트들은 막이 기판 상에 축적됨에 따라 증가하고; 기판 상에 막의 두꺼운 층이 이미 축적된 기판에 대해, 증착 레이트는 약 20,000 내지 30,000 Å/분일 수도 있다. 액체 TEOS 및 산화제 (가스 형태) 플로우 레이트들은 고 증착 레이트로 막을 증착하도록 높다. 예를 들어, 기화 전의 액체 TEOS 플로우 레이트는 약 11 내지 17 ㎖/분일 수도 있다. 특정한 실시예들에서, 기화 전의 액체 TEOS 플로우 레이트는 적어도 약 13 ㎖/분, 14 ㎖/분, 또는 15 ㎖/분일 수도 있다. 바람직하게 기화 전의 액체 TEOS 플로우 레이트는 약 14 ㎖/분이다. 산화제는 O2 또는 오존일 수 있고, 여기서 산화제는 바람직하게 O2이다. 산화제 플로우 레이트들은 약 15,200 sccm 내지 23,000 sccm, 또는 특정한 실시예들에서 약 18,000 내지 20,000 sccm, 그리고 바람직하게 약 19,000 sccm일 수도 있다.
다양한 실시예들에 따르면, 헬륨 플로우 레이트들은 약 1000 내지 5000 sccm, 및 약 3,000 내지 5,000 sccm, 또는 특정한 실시예들에서 약 3,500 내지 4,500 sccm, 그리고 바람직하게 약 4,000 sccm이다. 프로세스 가스 혼합물에의 헬륨의 첨가는 프로세싱 동안 반도체 기판의 외측 주변부를 향하여 TEOS 옥사이드 증착 레이트를 증가시키도록 반도체 기판의 상부 표면 위의 프로세싱 구역의 외측 주변부를 향하여 플라즈마 내의 전자들의 수 (즉, 전자 밀도) 및 플라즈마 밀도를 증가시킨다. 프로세스 가스 혼합물에의 헬륨의 첨가의 부가적인 유익한 효과들은 전체가 참조로서 본 명세서에 인용되는 공동으로 양도된 미국 특허 제 7,923,376 호에서 논의된다.
다양한 실시예들에 따르면, 아르곤 플로우 레이트들은 약 2,000 내지 6,000 sccm, 또는 약 3,000 내지 5,000 sccm이다. 프로세스 가스 혼합물에 아르곤을 제공하는 것은 프로세싱 동안 반도체 기판의 중심을 향하여 TEOS 옥사이드 증착 레이트들을 증가시키도록 반도체 기판의 상부 표면 위의 프로세싱 구역의 중심을 향하여 플라즈마 밀도 및 플라즈마 내의 전자들의 수 (즉, 전자 밀도) 를 증가시킨다. 따라서, 프로세스 가스 혼합물 내의 아르곤 및 헬륨의 플로우 레이트들 각각을 제어함으로써, 반도체 기판의 전체 상부 표면에 걸친 TEOS 옥사이드 막의 증착 레이트는 반도체의 상부 표면 내에 형성된 트렌치 내의 증착된 TEOS 옥사이드 막의 심 효과가 최소화될 수도 있도록 제어될 수도 있다.
프로세스 가스의 총 플로우 레이트 및 진공 챔버 내의 다른 조건들은 다른 인자들 중에서, RF 전력, 챔버 압력, 기판 표면 온도, 및 기판 사이즈에 따라 가변할 수 있다. 상기 플로우 레이트들은 300 ㎜ 반도체 웨이퍼들에 대한 플로우 레이트들이고; 당업자는 플로우 레이트들이 평판 디바이스들을 위한 기판들과 같은 200 ㎜ 또는 450 ㎜로 사이징된 기판들 또는 보다 큰 기판들 상의 TEOS 옥사이드의 고 증착 레이트의 PECVD를 위해 조정될 수도 있다는 것을 이해한다. 기판 온도는 약 300 ℃ 내지 550 ℃, 그리고 바람직하게 약 375 ℃이고, 온도 불균일도는 바람직하게 기판에 걸쳐 2 ℃ 미만이다.
PECVD TEOS 옥사이드 막의 애플리케이션들은 트렌치 충진 동작들, 층간 유전체들, 유전체 갭 충진, 게이트 유전체들, 배리어 및 캡 층들을 포함할 수도 있다. 상기에 나타낸 바와 같이, TEOS로 증착된 실리콘 옥사이드 막들은 아르곤이 아르곤을 사용하지 않는 PECVD 프로세스들을 통해 프로세스 가스에 포함될 때 컨포멀성 및 단차 커버리지를 개선하였다. 또한, 본 명세서에 개시된 방법들의 실시예들은 다른 프로세스들을 포함할 수도 있다; 예를 들어, PECVD TEOS 갭 충진 프로세스들은 스퍼터 에칭 단계들과 TEOS 옥사이드 증착을 교번할 수도 있다.
반응물질 가스들의 상대적인 플로우 레이트들뿐만 아니라 RF 전력은 증착된 막의 목표된 특성들에 따라 결정될 수도 있다. 예를 들어, 특정한 애플리케이션들에서, 인장 막이 요구된다. 에칭 선택도는 막 응력에 의해 제어된다. 따라서, 막 응력은 주어진 애플리케이션에 대해 에칭 선택도를 테일러링하도록 (tailor) 제어될 수도 있다. 바람직하게, 증착된 막들은 약 0 내지 150 ㎫; 그리고 특정한 실시예들에서, 적어도 50 ㎫의 인장 막 응력을 가질 수도 있다.
실시예들에서, 목표된 막은 예를 들어 트렌치 충진 애플리케이션에서 고 압축 막 응력을 갖는다. 바람직하게, 발생한 막은 약 150 내지 400 ㎫의 압축 막 응력을 가질 수도 있다.
도 3a는 기화 전의 14㎖/분의 액체 TEOS 플로우 레이트, 1,000 sccm의 헬륨 플로우 레이트, 및 15,000 sccm의 O2 플로우 레이트를 가진, 반도체 기판 상의 TEOS 옥사이드 막의 종래 기술의 증착 트렌치 충진 프로세스의 실시예를 도시한다. 증착 동안, 진공 챔버는 약 2.4 Torr로 유지되었고, 고주파수 RF 생성기는 약 250 W로 전력 공급되었고 그리고 저주파수 RF 생성기는 약 2220 W로 전력 공급되었다. 도 3a에 도시된 바와 같이, 심 효과가 나타나고, 증착된 TEOS 옥사이드 막은 약 60도의 심 각을 갖고, 크랙들은 습식 세정 프로세스가 반도체 기판 상에서 수행된 후에 증착된 재료 내에 형성된다.
본 명세서에 개시된 바와 같은 방법의 바람직한 실시예에 따르면, 그리고 도 3b에 예시된 바와 같이, 심 효과는 증착된 재료의 심 각이 약 55도로 감소될 때 최소화된다. 이 실시예에서 크랙들은 습식 세정 프로세스가 수행된 후에 증착된 재료 내에 형성되지 않는다. 최소화된 심 효과를 달성하도록, 플라즈마 증착 프로세스는 기화 전의 약 14 ㎖/분의 액체 TEOS 플로우 레이트, 약 4,000 sccm의 헬륨 플로우 레이트, 3,000 sccm의 아르곤 플로우 레이트, 및 약 19,000 sccm의 O2 플로우 레이트를 포함한다. 증착 동안, 진공 챔버는 약 4 Torr로 유지되었고, 그리고 고주파수 RF 생성기는 약 1,400 W로 전력 공급되었고 저주파수 RF 생성기는 약 1,950 W로 전력 공급되었다.
도 4a 및 도 4b는 본 명세서에 개시된 바와 같은 방법들의 실시예들에 따라 사용될 수도 있는 샤워헤드 어셈블리 (314) 의 실시예를 예시한다. 샤워헤드 어셈블리 (314) 는 스템 (404), 배면 플레이트 (406), 및 대면 플레이트 (410) 를 포함한다. 대면 플레이트 (410) 는 바람직하게 하부 벽 (421) 및 하부 벽 (421) 의 외측 주변부로부터 상향으로 연장하는 환형 벽 (422) 을 포함한다. 하부 벽 (421) 은 플라즈마 노출된 표면 (424) 을 포함한다. 대면 플레이트 (410) 의 플라즈마 노출된 표면 (424) 의 직경은 대면 플레이트 (410) 의 플라즈마 노출된 표면 (424) 아래에서 지지된 반도체 기판의 직경보다 약간 클 수도 있고, 바람직하게 반도체 기판의 직경의 약 100 % 내지 125 %이다. 예를 들어, 300 ㎜ (12 인치) 직경의 반도체 기판에 대해, 대면 플레이트 (410) 의 플라즈마 노출된 표면 (424) 의 직경은 약 13 내지 15 인치일 수도 있다.
대면 플레이트 (410) 의 하부 벽 (421) 및 배면 플레이트 (406) 는 약 0.125 내지 0.5 인치, 또는 약 0.25 내지 0.5 인치, 또는 약 0.25 내지 0.375 인치의 두께를 가질 수도 있다. 바람직하게, 대면 플레이트 (410) 의 하부 벽 (421) 은 최소 열 구배들이 대면 플레이트 (410) 의 플라즈마 노출된 표면 (424) 에 걸쳐 형성되도록 0.375 인치의 두께를 가진다. 대면 플레이트 (410) 는 알루미늄 또는 알루미늄 합금, 양극 산화된 또는 코팅된 알루미늄, 또는 고온, 화학물질 및 플라즈마에 내성이 있게 조제된 다른 금속으로 이루어질 수도 있다. 배면 플레이트 (406) 는 알루미늄 또는 알루미늄 합금, 양극 산화된 또는 코팅된 알루미늄, 또는 고온, 화학물질 및 플라즈마에 내성이 있게 조제된 다른 금속으로 이루어질 수도 있다.
일 실시예에서, 배면 플레이트 (406) 는 약 0.5 인치 두께이고, 대면 플레이트 (410) 의 하부 벽 (421) 은 약 0.375 인치이다. 배면 플레이트 (406) 는 캐비티 (408) 가 대면 플레이트 (410) 의 하부 벽 (421) 과 배면 플레이트 (406) 사이에 형성되도록 대면 플레이트 (410) 의 상향으로 연장하는 환형 벽 (422) 과 만난다. 바람직하게 배면 플레이트 (406) 는 배면 플레이트 (406) 에 대면 플레이트 (410) 를 일체로 커플링하도록 대면 플레이트 (410) 의 상향으로 연장하는 환형 벽 (422) 에 용접된다. 대안적인 실시예에서, 나사들은 배면 플레이트 (406) 에 대면 플레이트 (410) 를 해제 가능하게 커플링하도록 사용될 수도 있다.
스템 (404) 은 샤워헤드 어셈블리 (314) 의 배면 플레이트 (406) 로부터 상향으로 연장한다. 일 실시예에서, 스템 (404) 의 하부 단부는 배면 플레이트 (406) 에 용접될 수도 있다. 반응물질 가스들은 가스 유입 채널 (402) 을 통해 스템 (404) 내에 도입되고, 배면 플레이트 (406) 를 지나 흐르고 그리고 배면 플레이트 (406) 와 대면 플레이트 (410) 사이의 캐비티 (408) 에 들어간다. 캐비티 (408) 내에 배치된 배플 (412) 은 캐비티 (408) 도처에 가스들을 골고루 분배한다. 배플 (412) 은 배플 플레이트 내의 스레드된 (threaded) 삽입부들 또는 스레드된 홀들 (미도시) 및 복수의 나사들을 통해 배면 플레이트 (406) 에 부착될 수도 있거나 대안적으로 배플 (412) 은 배면 플레이트 (406) 에 용접될 수도 있다.
캐비티 (408) 의 체적은 배면 플레이트 (406) 와 대면 플레이트 (410) 사이의 갭에 의해 규정된다. 갭은 약 0.5 내지 1 인치, 바람직하게 약 0.75 인치일 수도 있다. 갭 내의 균일한 가스 플로우를 유지하도록, 갭의 사이즈는 다양한 위치들, 예를 들어 3, 6, 또는 최대 10개의 위치들에서 배면 플레이트 (406) 와 대면 플레이트 (410) 사이에 배치된 복수의 포스트들 (440) 을 사용하여 일정하게 유지될 수도 있다. 바람직하게, 대면 플레이트 (410) 는 내부에 일체로 형성된 포스트들 (440) 을 포함하고 배면 플레이트 (406) 는 배면 플레이트 (406) 가 대면 플레이트 (410) 와 커플링될 때 포스트들 (440) 의 상부 단부들을 수용하도록 배치된 대응하는 개구들 (441) 을 포함한다. 바람직하게, 포스트들 (440) 의 상부 단부들은 배면 플레이트 (406) 에 용접된다. 대면 플레이트 (410) 는 샤워헤드 어셈블리 (314) 의 캐비티 (408) 내로 전달된 프로세스 가스가 가스 주입 홀들 (444) 을 통해 반도체 기판 위의 프로세싱 구역으로 배출될 수도 있도록 가스 주입 홀들 (444) (도 5a 참조) 을 포함하고, 그리고 TEOS 옥사이드 막과 같은 재료는 샤워헤드 어셈블리 (314) 의 대면 플레이트 (410) 의 하부 벽 (421) 의 플라즈마 노출된 표면 (424) 아래에 배치된 반도체 기판의 상부 표면 상에 증착될 수도 있다.
도 5a는 본 명세서에 개시된 바와 같은 실시예에 따른 샤워헤드 어셈블리 (314) (도 4 참조) 의 대면 플레이트 (410) 의 하부 벽 (421) 의 플라즈마 노출된 표면 (424) 의 실시예를 도시하고, 도 5b는 도 5a의 상세사항 J의 확대도를 도시한다. 도 5a에 도시된 바와 같이, 대면 플레이트 (410) 는 프로세스 가스가 대면 플레이트 (410) 를 통해 공급될 (배출될) 수도 있도록 대면 플레이트 (410) 의 하부 벽 (421) 의 두께를 통해 각각 연장하는 복수의 가스 주입 홀들 또는 개구들 (444) 을 포함한다. 가스 주입 홀들 (444) 은 본 명세서에 개시된 바와 같은 방법의 실시예에 따라 프로세싱될 때 균일한 증착이 반도체 기판의 전체 상부 표면에 걸쳐 수행될 수도 있도록 대면 플레이트 (410) 의 하부 벽 (421) 내에 공간적으로 배치된다. 일 실시예에서, 적어도 6,000개의 가스 주입 홀들 (444) 은, 캐비티 (408) (도 4b 참조) 내로 전달되고 적어도 6,000개의 가스 주입 홀들 (444) 을 통해 배출된 프로세스 가스가 TEOS 옥사이드 트렌치 충진 동작 동안 반도체 기판의 적어도 하나의 트렌치 내에 증착된 TEOS 옥사이드 막의 심 효과를 최소화하도록 대면 플레이트 (410) 의 하부 벽 내에 공간적으로 배치된다.
가스 주입 홀들 (444) 은 머시닝 (machined), 밀링 (milled), 또는 드릴링될 (drilled) 수도 있다. 가스 주입 홀 (444) 각각은 약 0.01 내지 0.5 인치의 직경, 그리고 바람직하게 약 0.04 인치의 직경을 가질 수도 있다. 대안적으로, 가스 주입 홀들 (444) 은 보다 작은 직경 홀들 및 보다 큰 직경 홀들을 포함할 수 있다. 일 실시예에서, 가스 주입 홀들 (444) 의 일부는 상이한 사이즈들을 가질 수도 있다. 예를 들어, 대면 플레이트는 선택 가능한 중심 가스 주입 홀 (444a) (도 5b 참조) 을 포함할 수 있고 중심 가스 주입 홀 (444a) 의 직경은 나머지 가스 주입 홀들 (444) 보다 보다 크거나, 동일하거나, 보다 작다. 일 실시예에서, 가스 주입 홀들의 동심원 행들에서 가스 주입 홀들 (444) 각각은 동일한 직경을 갖거나 대안적으로 가스 주입 홀들 (444) 의 동심원 행에서 가스 주입 홀들 (444) 은 인접한 동심원 행의 가스 주입 홀들보다 보다 크거나 보다 작은 직경을 갖는다. 바람직한 실시예에서, 대면 플레이트 (410) 는 중심 가스 주입 홀 (444a) 을 포함하고, 중심 가스 주입 홀 (444a) 은 약 0.02 인치의 직경을 갖고 그리고 중심 가스 주입 홀 (444a) 을 둘러싸는 나머지 가스 주입 홀들 (444) 각각은 약 0.04 인치의 직경을 갖는다.
가스 주입 홀들 (444) 의 배열 및 선택 가능한 중심 가스 주입 홀 (444a) 을 포함한 가스 주입 홀들 (444) 각각의 직경들 각각은, 대면 플레이트 (410) 를 통해 공급된 프로세스 가스의 분배 및 반도체 기판의 상부 표면을 걸쳐 흐른 프로세스 가스의 구성물들의 체류 시간을 제어한다. 일 실시예에서, 대면 플레이트 (410) 는 적어도 약 6,000개의 가스 주입 홀들 (444) 을 포함한다. 가스 주입 홀들 (444) 은 대면 플레이트 (410) 의 중심을 둘러싸는 동심원 행들로 배치되고 가스 주입 홀들의 동심원 행 각각에서 인접한 가스 주입 홀들 (444) 사이의 거리는 대면 플레이트 (410) 의 중심 주위에서 바람직하게 등거리로 이격된다.
바람직하게, 대면 플레이트 (410) 는 중심 가스 주입 홀 (444a) (도 5b 참조) 및 중심 가스 주입 홀 (444a) 을 둘러싸는 복수의 동심원 행들의 가스 주입 홀들 (444) 을 포함한다. 일 실시예에서 대면 플레이트 (410) 는 중심 가스 주입 홀 (444a) 을 포함하지 않는다.
일 실시예에서, 도 5a에 도시된 바와 같이, 대면 플레이트 (410) 는 선택 가능한 중심 가스 주입 홀 (444a) (도 5b 참조) 을 둘러싸는 가스 주입 홀들 (444) 의 45개의 동심원 행들을 포함하고, 제 1 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 0.1 내지 0.2 인치의 방사상 거리에 위치된 9개의 가스 주입 홀들을 갖고, 제 2 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 0.2 내지 0.3 인치의 방사상 거리에 위치된 16개의 가스 주입 홀들을 갖고, 제 3 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 0.4 내지 0.5 인치의 방사상 거리에 위치된 21개의 가스 주입 홀들을 갖고, 제 4 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 0.5 내지 0.6 인치의 방사상 거리에 위치된 27개의 가스 주입 홀들을 갖고, 제 5 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 0.6 내지 0.7 인치의 방사상 거리에 위치된 34개의 가스 주입 홀들을 갖고, 제 6 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 0.7 내지 0.8 인치의 방사상 거리에 위치된 44개의 가스 주입 홀들을 갖고, 제 7 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 0.9 내지 1 인치의 방사상 거리에 위치된 49개의 가스 주입 홀들을 갖고; 제 8 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 1 내지 1.1 인치의 방사상 거리에 위치된 56개의 가스 주입 홀들을 갖고, 제 9 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 1.1 내지 1.2 인치의 방사상 거리에 위치된 62개의 가스 주입 홀들을 갖고, 제 10 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 1.25 내지 1.35 인치의 방사상 거리에 위치된 70개의 가스 주입 홀들을 갖고, 제 11 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 1.4 내지 1.5 인치의 방사상 거리에 위치된 83개의 가스 주입 홀들을 갖고, 제 12 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 1.5 내지 1.6 인치의 방사상 거리에 위치된 86개의 가스 주입 홀들을 갖고, 제 13 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 1.7 내지 1.8 인치의 방사상 거리에 위치된 95개의 가스 주입 홀들을 갖고, 제 14 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 1.8 내지 1.9 인치의 방사상 거리에 위치된 97개의 가스 주입 홀들을 갖고, 제 15 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 1.9 내지 2 인치의 방사상 거리에 위치된 107개의 가스 주입 홀들을 갖고, 제 16 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 2.05 내지 2.15 인치의 방사상 거리에 위치된 118개의 가스 주입 홀들을 갖고, 제 17 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 2.2 내지 2.3 인치의 방사상 거리에 위치된 116개의 가스 주입 홀들을 갖고, 제 18 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 2.3 내지 2.4 인치의 방사상 거리에 위치된 127개의 가스 주입 홀들을 갖고, 제 19 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 2.4 내지 2.5 인치의 방사상 거리에 위치된 127개의 가스 주입 홀들을 갖고, 제 20 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 2.55 내지 2.65 인치의 방사상 거리에 위치된 139개의 가스 주입 홀들을 갖고, 제 21 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 2.7 내지 2.8 인치의 방사상 거리에 위치된 159개의 가스 주입 홀들을 갖고, 제 22 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 2.8 내지 2.9 인치의 방사상 거리에 위치된 162개의 가스 주입 홀들을 갖고, 제 23 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 3 내지 3.1 인치의 방사상 거리에 위치된 165개의 가스 주입 홀들을 갖고, 제 24 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 3.1 내지 3.2 인치의 방사상 거리에 위치된 171개의 가스 주입 홀들을 갖고, 제 25 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 3.2 내지 3.3 인치의 방사상 거리에 위치된 170개의 가스 주입 홀들을 갖고, 제 26 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 3.35 내지 3.45 인치의 방사상 거리에 위치된 178개의 가스 주입 홀들을 갖고, 제 27 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 3.5 내지 3.6 인치의 방사상 거리에 위치된 186개의 가스 주입 홀들을 갖고, 제 28 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 3.6 내지 3.7 인치의 방사상 거리에 위치된 185개의 가스 주입 홀들을 갖고, 제 29 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 3.75 내지 3.85 인치의 방사상 거리에 위치된 195개의 가스 주입 홀들을 갖고, 제 30 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 3.9 내지 4 인치의 방사상 거리에 위치된 195개의 가스 주입 홀들을 갖고, 제 31 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 4 내지 4.1 인치의 방사상 거리에 위치된 200개의 가스 주입 홀들을 갖고, 제 32 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 4.15 내지 4.25 인치의 방사상 거리에 위치된 202개의 가스 주입 홀들을 갖고, 제 33 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 4.3 내지 4.4 인치의 방사상 거리에 위치된 205개의 가스 주입 홀들을 갖고, 제 34 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 4.4 내지 4.5 인치의 방사상 거리에 위치된 210개의 가스 주입 홀들을 갖고, 제 35 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 4.5 내지 4.6 인치의 방사상 거리에 위치된 214개의 가스 주입 홀들을 갖고, 제 36 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 4.7 내지 4.8 인치의 방사상 거리에 위치된 215개의 가스 주입 홀들을 갖고, 제 37 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 4.8 내지 4.9 인치의 방사상 거리에 위치된 212개의 가스 주입 홀들을 갖고, 제 38 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 4.9 내지 5 인치의 방사상 거리에 위치된 212개의 가스 주입 홀들을 갖고, 제 39 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 5.1 내지 5.2 인치의 방사상 거리에 위치된 214개의 가스 주입 홀들을 갖고, 제 40 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 5.2 내지 5.3 인치의 방사상 거리에 위치된 212개의 가스 주입 홀들을 갖고, 제 41 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 5.3 내지 5.4 인치의 방사상 거리에 위치된 210개의 가스 주입 홀들을 갖고, 제 42 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 5.45 내지 5.55 인치의 방사상 거리에 위치된 198개의 가스 주입 홀들을 갖고, 제 43 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 5.6 내지 5.7 인치의 방사상 거리에 위치된 160개의 가스 주입 홀들을 갖고, 제 44 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 5.7 내지 5.8 인치의 방사상 거리에 위치된 160개의 가스 주입 홀들을 갖고, 그리고 제 45 동심원 행은 대면 플레이트 (410) 의 중심으로부터 약 5.85 내지 5.95 인치의 방사상 거리에 위치된 140개의 가스 주입 홀들을 갖는다. 바람직하게 가스 주입 홀들의 동심원 행 각각의 가스 주입 홀들 (444) 은 대면 플레이트 (410) 의 중심 주위에서 등거리에 이격된다 (즉, 가스 주입 홀들의 동심원 행 각각에서 인접한 가스 주입 홀들 사이의 거리는 대략 동일함).
도 1을 다시 참조하면, 반도체 기판 플라즈마 프로세싱 장치 (300) 는 본 명세서에 개시된 바와 같은 반도체 기판 플라즈마 프로세싱 장치 (300) 의 실시예들의 동작을 제어하기 위한 그리고 본 명세서에 개시된 바와 같은 방법들의 실시예들을 수행하기 위한 전자제품과 통합될 수도 있는 제어기 (162) 를 포함한다. 전자제품은 시스템 또는 하위-시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (162) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (162) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 내의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨(즉, 프로세싱 모듈) 에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
상술한 바와 같이, 반도체 기판 플라즈마 프로세싱 장치 (300) 에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (162) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. 바람직하게, 비일시적인 컴퓨터 머신-판독 가능 매체는 반도체 기판 플라즈마 프로세싱 장치 (300) 의 제어를 위한 프로그램 인스트럭션들을 포함한다.
일 실시예에서 반도체 기판 플라즈마 프로세싱 장치 내의 반도체 기판 상에서 수행된 트렌치 충진 프로세스 동안 증착된 TEOS 옥사이드 막의 심 효과를 최소화하는 방법은, 반도체 기판 플라즈마 프로세싱 장치의 진공 챔버 내에서 페데스탈 상에 반도체 기판을 지지하는 단계를 포함하고, 반도체 기판은 반도체 기판의 상부 표면 내에 적어도 하나의 트렌치를 포함한다. TEOS, 산화제, 및 아르곤을 포함한 프로세스 가스는 반도체 기판 플라즈마 프로세싱 장치의 샤워헤드 어셈블리의 대면 플레이트를 통해 반도체 기판의 상부 표면 위의 진공 챔버의 프로세싱 구역 내로 흐른다. RF 에너지는 프로세스 가스를 플라즈마로 에너자이징하도록 적어도 하나의 RF 생성기를 사용하여 진공 챔버의 프로세싱 구역 내로 공급되고, TEOS 옥사이드 막은 반도체 기판의 적어도 하나의 트렌치를 충진하도록 반도체 기판의 상부 표면 상에 증착되고, 반도체 기판의 중심을 향하여 TEOS 옥사이드 막의 증착 레이트가 증가되고 그리고 적어도 하나의 트렌치 내의 증착된 TEOS 옥사이드 막의 심 효과가 감소되도록 플라즈마의 전자 밀도를 증가시키기에 충분한 양으로 아르곤이 공급된다.
바람직하게, 프로세스 가스는 헬륨을 더 포함하고, 반도체 기판의 외측 주변부를 향하여 TEOS 옥사이드 막의 증착 레이트가 증가되고 그리고 적어도 하나의 트렌치 내의 증착된 TEOS 옥사이드 막의 심 효과가 감소되도록 플라즈마의 전자 밀도를 증가시키기에 충분한 플로우 레이트로 진공 챔버에 헬륨이 공급된다.
일 실시예에서 RF 에너지는 약 1,100 내지 1,700 W로 전력 공급된 고주파수 RF 생성기를 사용하여 진공 챔버의 프로세싱 구역 내로 공급되고, 약 1,550 내지 2,400 W로 전력 공급된 저주파수 RF 생성기를 사용하여 진공 챔버의 프로세싱 구역 내로 RF 에너지를 공급하고, 그리고 진공 챔버는 프로세싱 동안 약 3 내지 5 Torr 또는 약 4 Torr의 압력으로 유지되고, TEOS 옥사이드 막은 바람직하게 약 1,000 Å 내지 30,000 Å의 두께로 증착된다.
본 명세서에 개시된 실시예들은 바람직한 실시예들을 참조하여 기술되었다. 그러나, 본 발명의 정신으로부터 벗어나지 않고 상기에 기술된 것과 다른 특정한 형태들로 본 발명을 구현하는 것이 가능함이 당업자들에게 손쉽게 분명해질 것이다. 바람직한 실시예들은 예시적인 것이고 어떤 방식으로든 제한적인 것으로 고려되어서는 안 된다.

Claims (20)

  1. 반도체 기판 플라즈마 프로세싱 장치 내의 반도체 기판 상에서 수행된 트렌치 충진 프로세스 동안 증착된 TEOS 옥사이드 막의 심 (seam) 효과를 최소화하는 방법에 있어서,
    상기 반도체 기판 플라즈마 프로세싱 장치의 진공 챔버 내의 페데스탈 상에 반도체 기판을 지지하는 단계로서, 상기 반도체 기판은 상기 반도체 기판의 상부 표면 내에 적어도 하나의 트렌치를 포함하는, 상기 반도체 기판을 지지하는 단계;
    TEOS, 산화제, 및 아르곤을 포함한 프로세스 가스를 상기 반도체 기판 플라즈마 프로세싱 장치의 샤워헤드 어셈블리의 대면 플레이트를 통해 상기 반도체 기판의 상기 상부 표면 위의 상기 진공 챔버의 프로세싱 구역 내로 흘리는 단계;
    상기 프로세스 가스를 플라즈마로 에너자이징하도록 (energize) 적어도 하나의 RF 생성기를 사용하여 상기 진공 챔버의 상기 프로세싱 구역 내로 RF 에너지를 공급하는 단계; 및
    상기 반도체 기판의 상기 적어도 하나의 트렌치를 충진하도록 상기 반도체 기판의 상기 상부 표면 상에 TEOS 옥사이드 막을 증착하는 단계로서, 상기 아르곤은, 상기 반도체 기판의 중심을 향하여 상기 TEOS 옥사이드 막의 증착 레이트가 증가되고 그리고 상기 적어도 하나의 트렌치 내의 상기 증착된 TEOS 옥사이드 막의 상기 심 효과가 감소되도록 상기 플라즈마의 전자 밀도를 증가시키기에 충분한 양으로 공급되는, 상기 TEOS 옥사이드 막을 증착하는 단계를 포함하는, 심 효과를 최소화하는 방법.
  2. 제 1 항에 있어서,
    상기 아르곤은 약 2,000 내지 6,000 sccm, 또는 약 3,000 내지 5,000 sccm, 또는 약 4,000 sccm의 플로우 레이트로 상기 진공 챔버에 공급되는, 심 효과를 최소화하는 방법.
  3. 제 1 항에 있어서,
    상기 프로세스 가스는 헬륨을 더 포함하고, 상기 헬륨은, 상기 반도체 기판의 외측 주변부를 향하여 상기 TEOS 옥사이드 막의 상기 증착 레이트가 증가되고 그리고 상기 적어도 하나의 트렌치 내의 상기 증착된 TEOS 옥사이드 막의 상기 심 효과가 감소되도록 상기 플라즈마의 상기 전자 밀도를 증가시키기에 충분한 플로우 레이트로 상기 진공 챔버에 공급되는, 심 효과를 최소화하는 방법.
  4. 제 3 항에 있어서,
    상기 헬륨은 약 3,000 내지 5,000 sccm, 또는 약 3,500 내지 4,500 sccm, 또는 약 4,000 sccm의 플로우 레이트로 상기 진공 챔버에 공급되는, 심 효과를 최소화하는 방법.
  5. 제 1 항에 있어서,
    상기 산화제는 O2 또는 오존이고,
    상기 산화제는 약 15,000 sccm 내지 23,000 sccm, 또는 약 18,000 내지 20,000 sccm, 또는 약 19,000 sccm의 플로우 레이트로 상기 진공 챔버에 공급되는, 심 효과를 최소화하는 방법.
  6. 제 1 항에 있어서,
    적어도 하나의 RF 생성기를 사용하여 상기 진공 챔버의 상기 프로세싱 구역 내로 RF 에너지를 공급하는 단계는, 약 1,100 내지 1,700 W로 전력 공급된 고주파수 RF 생성기를 사용하여 상기 진공 챔버의 상기 프로세싱 구역 내로 약 2 내지 60 ㎒의 주파수로 RF 에너지를 공급하는 단계 및 약 1,550 내지 2,400 W로 전력 공급된 저주파수 RF 생성기를 사용하여 상기 진공 챔버의 상기 프로세싱 구역 내로 약 50 내지 800 ㎑의 주파수로 RF 에너지를 공급하는 단계를 포함하는, 심 효과를 최소화하는 방법.
  7. 제 1 항에 있어서,
    상기 진공 챔버는 약 3 내지 5 Torr 또는 약 4 Torr의 압력으로 유지되는, 심 효과를 최소화하는 방법.
  8. 제 1 항에 있어서,
    액체 TEOS를 기화시키도록 동작 가능한 가열된 매니폴드로 약 11 내지 17 ㎖/분 또는 약 14 ㎖/분의 플로우 레이트로 상기 액체 TEOS를 흘리는 단계를 더 포함하고, 상기 가열된 매니폴드는 상기 기화된 TEOS가 상기 샤워헤드 어셈블리의 상기 대면 플레이트를 통해 상기 반도체 기판 위의 상기 프로세싱 구역으로 흐를 수도 있도록 상기 샤워헤드 어셈블리와 유체로 연통하는, 심 효과를 최소화하는 방법.
  9. 제 1 항에 있어서,
    상기 TEOS 옥사이드 막은 약 1,000 Å 내지 30,000 Å의 두께로 증착되는, 심 효과를 최소화하는 방법.
  10. 제 3 항에 있어서,
    기화 전의 상기 액체 TEOS의 플로우 레이트는 약 14 ㎖/분이고, 상기 헬륨의 플로우 레이트는 약 4,000 sccm이고, 상기 아르곤의 플로우 레이트는 약 3,000 sccm이고, 그리고 상기 O2의 플로우 레이트는 약 19,000 sccm인, 심 효과를 최소화하는 방법.
  11. 제 10 항에 있어서,
    상기 고주파수 RF 생성기의 상기 전력은 약 1,400 W이고, 상기 저주파수 RF 생성기의 상기 전력은 약 1,950 W이고 그리고 상기 진공 챔버 내의 상기 압력을 약 4 Torr로 유지하는, 심 효과를 최소화하는 방법.
  12. 제 1 항에 있어서,
    상기 발생한 TEOS 옥사이드 막은 약 0 내지 150 ㎫의 인장 막 응력을 갖는, 심 효과를 최소화하는 방법.
  13. 제 1 항에 있어서,
    상기 발생한 TEOS 옥사이드 막은 150 내지 400 ㎫의 압축 막 응력을 갖는, 심 효과를 최소화하는 방법.
  14. 제 1 항에 기재된 방법에 따라 프로세싱된 반도체 기판.
  15. 반도체 기판 플라즈마 프로세싱 장치의 샤워헤드 어셈블리에 있어서,
    상기 샤워헤드 어셈블리는,
    하부 벽 및 상기 하부 벽의 외측 주변부로부터 상향으로 연장하는 환형 외벽을 포함한 대면 플레이트; 및
    배면 플레이트로서, 상기 대면 플레이트의 상기 상향으로 연장하는 환형 벽은 캐비티가 상기 대면 플레이트의 상기 하부 벽과 상기 배면 플레이트 사이에 형성되도록 상기 배면 플레이트의 외측 주변부에 용접되는, 상기 배면 플레이트를 포함하고,
    상기 대면 플레이트의 상기 하부 벽은 상기 하부 벽을 통해 연장하는 적어도 6,000개의 가스 주입 홀들을 포함하고, 상기 적어도 6,000개의 가스 주입 홀들은, 상기 적어도 6,000개의 가스 주입 홀들을 통해 전달된 프로세스 가스가 TEOS 옥사이드 트렌치 충진 동작 동안 반도체 기판의 적어도 하나의 트렌치 내에 증착된 TEOS 옥사이드 막의 심 효과를 최소화하도록 상기 대면 플레이트의 상기 하부 벽 내에 공간적으로 배치되는, 샤워헤드 어셈블리.
  16. 제 15 항에 있어서,
    상기 대면 플레이트의 상기 하부 벽은 선택 가능한 중심 가스 주입 홀 및 상기 선택 가능한 중심 가스 주입 홀을 둘러싸는 가스 주입 홀들의 45개의 동심원 행들을 포함하고, 제 1 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 0.1 내지 0.2 인치의 방사상 거리에 위치된 9개의 가스 주입 홀들을 갖고, 제 2 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 0.2 내지 0.3 인치의 방사상 거리에 위치된 16개의 가스 주입 홀들을 갖고, 제 3 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 0.4 내지 0.5 인치의 방사상 거리에 위치된 21개의 가스 주입 홀들을 갖고, 제 4 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 0.5 내지 0.6 인치의 방사상 거리에 위치된 27개의 가스 주입 홀들을 갖고, 제 5 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 0.6 내지 0.7 인치의 방사상 거리에 위치된 34개의 가스 주입 홀들을 갖고, 제 6 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 0.7 내지 0.8 인치의 방사상 거리에 위치된 44개의 가스 주입 홀들을 갖고, 제 7 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 0.9 내지 1 인치의 방사상 거리에 위치된 49개의 가스 주입 홀들을 갖고, 제 8 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 1 내지 1.1 인치의 방사상 거리에 위치된 56개의 가스 주입 홀들을 갖고, 제 9 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 1.1 내지 1.2 인치의 방사상 거리에 위치된 62개의 가스 주입 홀들을 갖고, 제 10 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 1.25 내지 1.35 인치의 방사상 거리에 위치된 70개의 가스 주입 홀들을 갖고, 제 11 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 1.4 내지 1.5 인치의 방사상 거리에 위치된 83개의 가스 주입 홀들을 갖고, 제 12 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 1.5 내지 1.6 인치의 방사상 거리에 위치된 86개의 가스 주입 홀들을 갖고, 제 13 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 1.7 내지 1.8 인치의 방사상 거리에 위치된 95개의 가스 주입 홀들을 갖고, 제 14 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 1.8 내지 1.9 인치의 방사상 거리에 위치된 97개의 가스 주입 홀들을 갖고, 제 15 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 1.9 내지 2 인치의 방사상 거리에 위치된 107개의 가스 주입 홀들을 갖고, 제 16 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 2.05 내지 2.15 인치의 방사상 거리에 위치된 118개의 가스 주입 홀들을 갖고, 제 17 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 2.2 내지 2.3 인치의 방사상 거리에 위치된 116개의 가스 주입 홀들을 갖고, 제 18 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 2.3 내지 2.4 인치의 방사상 거리에 위치된 127개의 가스 주입 홀들을 갖고, 제 19 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 2.4 내지 2.5 인치의 방사상 거리에 위치된 127개의 가스 주입 홀들을 갖고, 제 20 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 2.55 내지 2.65 인치의 방사상 거리에 위치된 139개의 가스 주입 홀들을 갖고, 제 21 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 2.7 내지 2.8 인치의 방사상 거리에 위치된 159개의 가스 주입 홀들을 갖고, 제 22 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 2.8 내지 2.9 인치의 방사상 거리에 위치된 162개의 가스 주입 홀들을 갖고, 제 23 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 3 내지 3.1 인치의 방사상 거리에 위치된 165개의 가스 주입 홀들을 갖고, 제 24 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 3.1 내지 3.2 인치의 방사상 거리에 위치된 171개의 가스 주입 홀들을 갖고, 제 25 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 3.2 내지 3.3 인치의 방사상 거리에 위치된 170개의 가스 주입 홀들을 갖고, 제 26 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 3.35 내지 3.45 인치의 방사상 거리에 위치된 178개의 가스 주입 홀들을 갖고, 제 27 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 3.5 내지 3.6 인치의 방사상 거리에 위치된 186개의 가스 주입 홀들을 갖고, 제 28 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 3.6 내지 3.7 인치의 방사상 거리에 위치된 185개의 가스 주입 홀들을 갖고, 제 29 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 3.75 내지 3.85 인치의 방사상 거리에 위치된 195개의 가스 주입 홀들을 갖고, 제 30 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 3.9 내지 4 인치의 방사상 거리에 위치된 195개의 가스 주입 홀들을 갖고, 제 31 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 4 내지 4.1 인치의 방사상 거리에 위치된 200개의 가스 주입 홀들을 갖고, 제 32 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 4.15 내지 4.25 인치의 방사상 거리에 위치된 202개의 가스 주입 홀들을 갖고, 제 33 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 4.3 내지 4.4 인치의 방사상 거리에 위치된 205개의 가스 주입 홀들을 갖고, 제 34 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 4.4 내지 4.5 인치의 방사상 거리에 위치된 210개의 가스 주입 홀들을 갖고, 제 35 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 4.5 내지 4.6 인치의 방사상 거리에 위치된 214개의 가스 주입 홀들을 갖고, 제 36 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 4.7 내지 4.8 인치의 방사상 거리에 위치된 215개의 가스 주입 홀들을 갖고, 제 37 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 4.8 내지 4.9 인치의 방사상 거리에 위치된 212개의 가스 주입 홀들을 갖고, 제 38 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 4.9 내지 5 인치의 방사상 거리에 위치된 212개의 가스 주입 홀들을 갖고, 제 39 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 5.1 내지 5.2 인치의 방사상 거리에 위치된 214개의 가스 주입 홀들을 갖고, 제 40 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 5.2 내지 5.3 인치의 방사상 거리에 위치된 212개의 가스 주입 홀들을 갖고, 제 41 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 5.3 내지 5.4 인치의 방사상 거리에 위치된 210개의 가스 주입 홀들을 갖고, 제 42 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 5.45 내지 5.55 인치의 방사상 거리에 위치된 198개의 가스 주입 홀들을 갖고, 제 43 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 5.6 내지 5.7 인치의 방사상 거리에 위치된 160개의 가스 주입 홀들을 갖고, 제 44 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 5.7 내지 5.8 인치의 방사상 거리에 위치된 160개의 가스 주입 홀들을 갖고, 그리고 제 45 동심원 행은 상기 대면 플레이트의 상기 중심으로부터 약 5.85 내지 5.95 인치의 방사상 거리에 위치된 140개의 가스 주입 홀들을 갖는, 샤워헤드 어셈블리.
  17. 제 15 항에 있어서,
    (a) 상기 대면 플레이트는 상기 선택 가능한 중심 가스 주입 홀을 포함하고 그리고 상기 선택 가능한 중심 가스 주입 홀의 직경은 나머지 가스 주입 홀들의 직경보다 보다 크거나, 동일하거나, 보다 작고; 그리고/또는
    (b) 상기 가스 주입 홀들의 동심원 행들에서 상기 가스 주입 홀들은 각각 동일한 직경을 갖거나 상기 가스 주입 홀들의 동심원 행에서 상기 가스 주입 홀들은 인접한 동심원 행의 가스 주입 홀들보다 보다 크거나 보다 작은 직경을 갖는, 샤워헤드 어셈블리.
  18. 제 15 항에 있어서,
    (a) 상기 대면 플레이트는 상기 대면 플레이트의 상기 하부 벽으로부터 상향으로 연장하는 상기 캐비티 내에 복수의 포스트들을 포함하고, 상기 포스트들의 상부 단부들은 상기 포스트들의 상기 상부 단부들이 위치된 상기 배면 플레이트의 대응하는 개구들에 용접되고;
    (b) 스템 (stem) 이 상기 샤워헤드 어셈블리의 상기 배면 플레이트로부터 상향으로 연장하고; 그리고
    (c) 배플이 상기 샤워헤드 어셈블리의 상기 캐비티 내에 배치되고, 상기 배플은 상기 샤워헤드 어셈블리에 공급된 프로세스 가스를 상기 캐비티 도처에 골고루 분배하도록 동작 가능한, 샤워헤드 어셈블리.
  19. 제 15 항에 기재된 샤워헤드 어셈블리를 포함하는 반도체 기판 플라즈마 프로세싱 장치에 있어서,
    상기 반도체 기판 플라즈마 프로세싱 장치는 PECVD (plasma enhanced chemical vapor deposition) 장치 또는 PEALD (plasma enhanced atomic layer deposition) 장치인, 반도체 기판 플라즈마 프로세싱 장치.
  20. 제 19 항에 있어서,
    상기 반도체 기판 플라즈마 프로세싱 장치의 제어를 위한 프로그램 인스트럭션들을 포함한 머신 판독 가능 매체 및 제어기를 더 포함하는, 반도체 기판 플라즈마 프로세싱 장치.
KR1020160024927A 2015-03-06 2016-03-02 Teos 옥사이드 막 증착 동안 심 효과를 최소화하기 위한 방법 및 장치 KR20160108176A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/640,207 2015-03-06
US14/640,207 US9570289B2 (en) 2015-03-06 2015-03-06 Method and apparatus to minimize seam effect during TEOS oxide film deposition

Publications (1)

Publication Number Publication Date
KR20160108176A true KR20160108176A (ko) 2016-09-19

Family

ID=56850839

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160024927A KR20160108176A (ko) 2015-03-06 2016-03-02 Teos 옥사이드 막 증착 동안 심 효과를 최소화하기 위한 방법 및 장치

Country Status (4)

Country Link
US (1) US9570289B2 (ko)
KR (1) KR20160108176A (ko)
CN (2) CN113903654A (ko)
TW (1) TWI714557B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10526703B2 (en) 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
KR102344256B1 (ko) * 2019-12-05 2021-12-27 세메스 주식회사 기판 처리 장치
US12020907B2 (en) 2020-04-09 2024-06-25 Applied Materials, Inc. Faceplate with localized flow control

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JP3208376B2 (ja) * 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2005059974A1 (en) 2003-12-15 2005-06-30 Applied Materials, Inc. Edge flow faceplate for improvement of cvd film properties
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
WO2006029651A1 (en) 2004-09-16 2006-03-23 S.O.I.Tec Silicon On Insulator Technologies Method of manufacturing a silicon dioxide layer
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN102027580A (zh) 2008-05-13 2011-04-20 东京毅力科创株式会社 氧化硅膜的形成方法、氧化硅膜、半导体器件、以及半导体器件的制造方法
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
KR20130087929A (ko) 2012-01-30 2013-08-07 에스케이하이닉스 주식회사 트랜치 소자분리층을 갖는 반도체소자 및 그 제조방법
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20140162384A1 (en) 2012-12-06 2014-06-12 Intermolecular Inc. PVD-ALD-CVD hybrid HPC for work function material screening
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone

Also Published As

Publication number Publication date
US9570289B2 (en) 2017-02-14
TW201704516A (zh) 2017-02-01
TWI714557B (zh) 2021-01-01
CN113903654A (zh) 2022-01-07
CN105938792A (zh) 2016-09-14
US20160260603A1 (en) 2016-09-08
CN105938792B (zh) 2021-09-07

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US10176984B2 (en) Selective deposition of silicon oxide
KR102598660B1 (ko) 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
KR20160108176A (ko) Teos 옥사이드 막 증착 동안 심 효과를 최소화하기 위한 방법 및 장치
JP2017224816A (ja) 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム
TW201632650A (zh) 無氨無氯保形氮化矽膜的沉積方法
JP2016036020A (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
TWI805644B (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
KR20150075363A (ko) Ti막의 성막 방법
WO2019212685A1 (en) Pressure skew system for controlling center-to-edge pressure change
TW202104643A (zh) 高蝕刻選擇性之低應力可灰化碳硬遮罩
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
TWI834331B (zh) 動態處理腔室擋板
US20220162749A1 (en) Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
US11955333B2 (en) Methods and apparatus for processing a substrate
JP7290634B2 (ja) リアクタの処理バッチサイズを増加させるための方法および装置
US10662522B1 (en) Thermal metal chemical vapor deposition process
TW202208672A (zh) 用於控制晶圓斜邊/邊緣上之沉積的噴淋頭設計
WO2024076480A1 (en) Annular pumping for chamber
WO2024076479A1 (en) Adjustable pedestal
WO2022005864A1 (en) Remote plasma source showerhead assembly with aluminum fluoride plasma exposed surface
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal