CN106191814B - 抗瞬变喷头 - Google Patents

抗瞬变喷头 Download PDF

Info

Publication number
CN106191814B
CN106191814B CN201610361563.2A CN201610361563A CN106191814B CN 106191814 B CN106191814 B CN 106191814B CN 201610361563 A CN201610361563 A CN 201610361563A CN 106191814 B CN106191814 B CN 106191814B
Authority
CN
China
Prior art keywords
gas
plenum chamber
volume space
boss
chamber volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610361563.2A
Other languages
English (en)
Other versions
CN106191814A (zh
Inventor
爱德华·宋
科林·F·史密斯
肖恩·M·汉密尔顿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201811101686.8A priority Critical patent/CN109536924B/zh
Publication of CN106191814A publication Critical patent/CN106191814A/zh
Application granted granted Critical
Publication of CN106191814B publication Critical patent/CN106191814B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及抗瞬变喷头。公开了用于半导体处理设备的喷头,其包括被设计成减少或消除由于喷头内的气流瞬变而导致的在晶片的整个表面的非均匀气体输送的各种特征。

Description

抗瞬变喷头
技术领域
本发明总体上涉及半导体制造领域,更具体涉及抗瞬变喷头。
背景技术
半导体处理工具经常包括被设计成在整个半导体衬底或晶片以相对均匀的方式分配处理气体的部件。这些部件在业内通常被称为“喷头”。喷头一般包括在内部可以处理半导体衬底或者晶片的半导体处理容积空间前面的面板。面板可包括多个气体分配端口,这些端口使得在充气室容积空间内的气体能够流过面板并进入衬底和面板之间(或支撑晶片的晶片支撑件和面板之间)的反应空间。在一些情况下,喷头可被配置为在该喷头内将两种不同气体彼此隔离的同时,以同步方式在整个半导体衬底或晶片上分配这两种不同气体。气体分配端口通常被布置成使得整个晶片上的气体分配导致基本均匀的衬底处理。
发明内容
本公开的一个方面涉及一种装置,其具有:第一气体入口;第一表面;多个第一气体分配端口;第二表面;第三表面,其置于所述第一表面和第二表面之间;第四表面,其置于所述第三表面和第二表面之间;和多个第一气流通道,其置于所述第一表面和所述第三表面之间。在这样的装置中,所述第一气体入口可以被配置为输送第一处理气体通过所述第一表面,并且所述第一气体分配端口被配置成输送所述第一处理气体通过所述第二表面。
所述装置可以具有与所述第一气体入口流体连接的第一入口充气室容积空间,所述第一入口充气室容积空间至少部分地被所述第一表面和所述第三表面限定。所述装置可以进一步具有与所述第一气体分配端口流体连接的第一气体分配充气室容积空间,所述第一气体分配充气室容积空间至少部分地被所述第二表面和所述第四表面限定。
所述第一气流通道可以各自具有第一端和第二端,所述第一端将所述第一气流通道与所述第一入口充气室容积空间流体连接,所述第二端将所述第一气流通道与所述第一气体分配充气室容积空间流体连接。每个第一气流通道都可以是基本相同的总长度,在第一端延伸远离所述第一入口充气室容积空间,并且包括介于所述第一端和所述第二端之间的在140°和200°之间的弯曲,使得所述第一气流通道的所述第二端朝向所述第一入口充气室容积空间定位。
在一些实施方式中,每个第一气流通道的所述第二端可以通过穿过所述第四表面的相应的第一孔与所述第一气体分配充气室容积空间流体连接,每个第一孔可以具有标称孔直径。在一些实施方式中,多个第一凸台可以从所述第二表面朝向所述第四表面向上延伸,每个第一凸台居中于所述第一孔中的一个上,并且具有标称台直径。在一些这样的实施方式中,每个第一凸台可以从所述第四表面偏移介于0.025mm和1.2mm之间的距离。在其它的或另外的这样的实施方式中,每个第一凸台可以从所述第四表面偏移介于所述标称直径的1/11和1/13之间的距离。在还有的另外的或替代的这样的实施方式中,每个第一凸台可以从所述第四表面偏移小于所述标称台直径和所述标称孔直径之间的差的2倍并且大于所述标称台直径和所述标称孔直径之间的差的0.2倍的距离。
在一些实施方式中,第一气体分配端口的不同子集可以与所述第一凸台中的每个第一凸台相邻,并且每个第一凸台都可以居中于所述多个第一气体分配端口中的与该第一凸台相邻的第一气体分配端口之间。
在一些实施方式中,多个第一支撑柱可以跨于第二表面与第四表面之间。
在一些实施方式中,所述第一孔可以具有介于1.5mm和3mm之间的直径,并且在某些替代的或者另外的实施方式中,所述第一凸台可以具有介于5mm和8mm之间的直径。
在一些实施方式中,所述装置还可以包括多个第一半岛。每个第一半岛可以伸入所述第一入口充气室容积空间内,并且所述第一气流通道中的一个或多个的所述第二端可以延伸到所述第一半岛中的每个中。在这样的实施方式中,在所述第一半岛内的所述第一气流通道的所述第二端可以比这样的第一气流通道的所述第一端更接近所述第一中心点。
在一些实施方式中,第一气流通道可以包括介于所述第一端和所述第二端之间的在150°和190°之间的弯曲。在一些实施方式中,所述第一气流通道中的每个可以具有其它第一气流通道的长度±30%、±20%、±10%或±5%内的长度。
在一些实施方式中,所述第一气流通道中的每个沿其长度可以具有恒定的横截面面积。在一些实施方式中,所述第一气流通道中的每个的第一端与所述装置的第一轴线可以是等距离的。在一些实施方式中,所述装置可以包括介于20个和100个之间的第一气流通道。
在一些实施方式中,所述装置还可以包括:第二气体入口;第五表面;多个第二气体分配端口;第六表面;第七表面,其置于所述第五表面和第六表面之间;第八表面,其置于所述第六表面和第七表面之间;和多个第二气流通道,其置于所述第五表面和所述第七表面之间。在这样的实施方式中,所述第二气体入口可以被配置为输送第二处理气体通过所述第五表面,并且所述第二气体分配端口可以被配置成输送所述第二处理气体通过所述第六表面。
在一些实施方式中,所述装置可以具有与所述第二气体入口流体连接的第二入口充气室容积空间。第二入口充气室容积空间可以至少部分地由所述第五表面和所述第七表面限定。所述装置可以进一步具有与所述第二气体分配端口流体连接的第二气体分配充气室容积空间,并且第二气体分配充气室容积空间可以至少部分地由所述第六表面和所述第八表面限定。
在一些实施方式中,第二气流通道各自可以具有第一端和第二端,所述第一端将所述第二气流通道与所述第二入口充气室容积空间流体连接,所述第二端将所述第二气流通道与所述第二气体分配充气室容积空间流体连接。每个第二气流通道都可以是基本相同的总长度,在所述第一端延伸远离所述第二入口充气室容积空间,并且包括介于所述第一端和所述第二端之间的在140°和200°之间的弯曲,使得该第二气流通道的所述第二端朝向所述第一入口充气室容积空间定位。
在一些实施方式中,这样的装置的每个第一气流通道的所述第二端可以通过穿过所述第四表面的相应的第一孔与所述第一气体分配充气室容积空间流体连接;每个第一孔可以具有标称孔直径。在一些情况下,多个第一凸台可以从所述第二表面朝向所述第四表面向上延伸,并且每个第一凸台可以居中于所述第一孔中的一个上,并且可以具有标称台直径。在这样的实施方式中,每个第二气流通道的所述第二端可以通过穿过所述第八表面的相应的第二孔与所述第二气体分配充气室容积空间流体连接;每个第二孔可以具有标称孔直径。在一些情况下,多个第二凸台从所述第六表面朝向所述第八表面向上延伸,其中每个第二凸台居中于所述第二孔中的一个上,并且可以具有标称台直径。
在一些情况下,每个第一凸台可以从所述第四表面偏移和/或每个第二凸台可以从所述第八表面偏移介于0.025mm和1.2mm之间的距离。在其它的或者另外的情况下,每个第一凸台可以从所述第四表面偏移和/或每个第二凸台可以从所述第八表面偏移介于相应的每个凸台的标称直径的1/11和1/13之间的距离。在还有的其它情况下,每个第一凸台可以从所述第四表面偏移和/或每个第二凸台可以从所述第八表面偏移小于所述标称台直径和所述相应的标称孔直径之间的差的2倍并且大于所述标称台直径和所述相应的标称孔直径之间的差的0.2倍的距离。
在某些实施方式中,所述装置可以具有一个或多个另外的第一气体入口,并且所述第一入口充气室容积空间可以被划分成多个第一入口充气室子容积空间,每个第一入口充气室子容积空间通过所述第一气体入口中的不同的第一气体入口进给。
在某些实施方式中,所述第一入口充气室容积空间和所述第一气体分配充气室容积空间可以置于所述第二入口充气室容积空间和第二气体分配充气室容积空间之间。在其它实施方式中,所述第一入口充气室容积空间和所述第二气体分配充气室容积空间可以置于所述第二入口充气室容积空间和第一气体分配充气室容积空间之间。
在一些实施方式中,所述装置内的所述第一气体分配端口的不同子集与所述第一凸台中的每个第一凸台相邻,并且每个第一凸台居中于邻近该第一凸台的所述第一气体分配端口之间。
在一些实施方式中,在经修改的所述装置内的第二气体分配端口的不同子集与所述第二凸台中的每个第二凸台相邻,并且每个第二凸台居中于邻近该第二凸台的所述第二气体分配端口之间。
在一些实施方式中,所述装置还可以包括多个第一支撑柱和多个第二支撑柱,所述第一支撑柱跨于第二表面与第四表面之间,而所述第二支撑柱跨于第六表面与第八表面之间。
在一些实施方式中,所述装置还可以包括多个第一半岛,每个第一半岛伸入所述第一入口充气室容积空间内,并且所述第一气流通道中的一个或多个的所述第二端延伸到所述第一半岛中的每个中。在这样的实施方式中,在所述第一半岛内的所述第一气流通道的所述第二端可以比那些第一气流通道的所述第一端更接近所述第一入口充气室容积空间的所述第一中心点。
在一些实施方式中,所述装置还可以包括多个第二半岛,每个第二半岛伸入所述第二入口充气室容积空间内,并且所述第二气流通道中的一个或多个的所述第二端延伸到所述第二半岛中的每个中。在这样的实施方式中,在所述第二半岛内的所述第二气流通道的所述第二端可以比那些第二气流通道的所述第一端更接近所述第二入口充气室容积空间的所述第二中心点。
具体而言,本发明的一些方面可以阐述如下:
1.一种装置,其包括:
第一气体入口;
第一表面,其中所述第一气体入口被配置为输送第一处理气体通过所述第一表面;
多个第一气体分配端口;
第二表面,其中所述第一气体分配端口被配置成输送所述第一处理气体通过所述第二表面;
第三表面,其置于所述第一表面和第二表面之间;
第四表面,其置于所述第三表面和第二表面之间;和
多个第一气流通道,其置于所述第一表面和所述第三表面之间,其中:
所述第一表面和所述第三表面至少部分地限定与所述第一气体入口流体连接的第一入口充气室容积空间,
所述第二表面和所述第四表面至少部分地限定与所述第一气体分配端口流体连接的第一气体分配充气室容积空间,
第一气流通道各自具有第一端和第二端,所述第一端将所述第一气流通道与所述第一入口充气室容积空间流体连接,所述第二端将所述第一气流通道与所述第一气体分配充气室容积空间流体连接,以及
每个第一气流通道都是基本相同的总长度,在第一端延伸远离所述第一入口充气室容积空间,并且包括介于所述第一端和所述第二端之间的在140°和200°之间的弯曲,使得所述第一气流通道的所述第二端朝向所述第一入口充气室容积空间定位。
2.根据条款1所述的装置,其中:
每个第一气流通道的所述第二端通过穿过所述第四表面的相应的第一孔与所述第一气体分配充气室容积空间流体连接,
多个第一凸台从所述第二表面朝向所述第四表面向上延伸,
每个第一凸台居中于所述第一孔中的一个上,并且
每个第一凸台从所述第四表面偏移介于0.025mm和1.2mm之间的距离。
3.根据条款1所述的装置,其中:
每个第一气流通道的所述第二端通过穿过所述第四表面的相应的第一孔与所述第一气体分配充气室容积空间流体连接,
多个第一凸台从所述第二表面朝向所述第四表面向上延伸,
每个第一凸台居中于所述第一孔中的一个上并具有标称孔直径,并且
每个第一凸台从所述第四表面偏移介于所述标称直径的1/11和1/13之间的距离。
4.根据条款1所述的装置,其中:
每个第一气流通道的所述第二端通过穿过所述第四表面的相应的第一孔与所述第一气体分配充气室容积空间流体连接,
每个第一孔具有标称孔直径,
多个第一凸台从所述第二表面朝向所述第四表面向上延伸,
每个第一凸台居中于所述第一孔中的一个上并具有标称台直径,并且
每个第一凸台从所述第四表面偏移小于介于所述标称台直径和所述标称孔直径之间的差的2倍并且大于介于所述标称台直径和所述标称孔直径之间的差的0.2倍的距离。
5.根据条款2-4中任一项所述的装置,其中第一气体分配端口的不同子集与所述第一凸台中的每个第一凸台相邻,并且每一个第一凸台都居中于所述多个第一气体分配端口中的与该第一凸台相邻的第一气体分配端口之间。
6.根据条款2-4中任一项所述的装置,其还包括多个第一支撑柱,其中,所述第一支撑柱跨于所述第二表面与所述第四表面之间。
7.根据条款2-4中任一项所述的装置,其中,所述第一孔具有介于1.5mm和3mm之间的直径。
8.根据条款2-4中任一项所述的装置,其中,所述第一凸台具有介于5mm和8mm之间的直径。
9.根据条款1-4中任一项所述的装置,其还包括多个第一半岛,其中:
所述第一入口充气室容积空间具有第一中心点,
每个第一半岛伸入所述第一入口充气室容积空间内,
所述第一气流通道中的一个或多个的所述第二端延伸到所述第一半岛中的每个中,并且
在所述第一半岛内的所述第一气流通道的所述第二端比所述第一气流通道的所述第一端更接近所述第一中心点。
10.根据条款1-4中任一项所述的装置,其中每个第一气流通道包括介于所述第一端和所述第二端之间的在150°和190°之间的弯曲。
11.根据条款1-4中任一项所述的装置,其中,所述第一气流通道中的每个具有其它第一气流通道的长度±5%内的长度。
12.根据条款1-4中任一项所述的装置,其中,所述第一气流通道中的每个具有其它第一气流通道的长度±10%内的长度。
13.根据条款1-4中任一项所述的装置,其中,所述第一气流通道中的每个具有其它第一气流通道的长度±20%内的长度。
14.根据条款1-4中任一项所述的装置,其中,所述第一气流通道中的每个具有其它第一气流通道的长度±30%内的长度。
15.根据条款1-4中任一项所述的装置,其中,所述第一气流通道中的每个沿其长度具有恒定的横截面面积。
16.根据条款1-4中任一项所述的装置,其中,所述第一气流通道中的每个的第一端与所述装置的第一轴线是等距离的。
17.根据条款1-4中任一项所述的装置,其中,所述装置包括介于20个和100个之间的第一气流通道。
18.根据条款1-4中任一项所述的装置,其还包括:
第二气体入口;
第五表面,其中所述第二气体入口被配置为输送第二处理气体通过所述第五表面;
多个第二气体分配端口;
第六表面,其中所述第二气体分配端口被配置成输送所述第二处理气体通过所述第六表面;
第七表面,其置于所述第五表面和第六表面之间;
第八表面,其置于所述第六表面和第七表面之间;和
多个第二气流通道,其置于所述第五表面和所述第七表面之间,其中:
所述第五表面和所述第七表面至少部分地限定与所述第二气体入口流体连接的第二入口充气室容积空间,
所述第六表面和所述第八表面至少部分地限定与所述第二气体分配端口流体连接的第二气体分配充气室容积空间,
所述第二气流通道各自具有第一端和第二端,所述第一端将所述第二气流通道与所述第二入口充气室容积空间流体连接,所述第二端将所述第二气流通道与所述第二气体分配充气室容积空间流体连接,以及
每个第二气流通道都是基本相同的总长度,在所述第一端延伸远离所述第二入口充气室容积空间,并且包括介于所述第一端和所述第二端之间的在140°和200°之间的弯曲,使得该第二气流通道的所述第二端朝向所述第二入口充气室容积空间定位。
19.根据条款18所述的装置,其中:
每个第一气流通道的所述第二端通过穿过所述第四表面的相应的第一孔与所述第一气体分配充气室容积空间流体连接,
多个第一凸台从所述第二表面朝向所述第四表面向上延伸,
每个第一凸台居中于所述第一孔中的一个上,
每个第一凸台从所述第四表面偏移介于所述第一凸台标称直径的1/11和1/13之间的距离,
每个第二气流通道的所述第二端通过穿过所述第八表面的相应的第二孔与所述第二气体分配充气室容积空间流体连接,
多个第二凸台从所述第六表面朝向所述第八表面向上延伸,
每个第二凸台居中于所述第二孔中的一个上,并且
每个第二凸台从所述第八表面偏移介于所述第二凸台标称直径的1/11和1/13之间的距离。
20.根据条款18或条款19所述的装置,其还包括一个或多个另外的第一气体入口,其中所述第一入口充气室容积空间被划分成多个第一入口充气室子容积空间,每个第一入口充气室子容积空间通过所述第一气体入口中的不同第一气体入口进给。
21.根据条款18或条款19所述的装置,其中,所述第一入口充气室容积空间和所述第一气体分配充气室容积空间置于所述第二入口充气室容积空间和第二气体分配充气室容积空间之间。
22.根据条款18或条款19所述的装置,其中,所述第一入口充气室容积空间和所述第二气体分配充气室容积空间置于所述第二入口充气室容积空间和所述第一气体分配充气室容积空间之间。
23.根据条款18或条款19所述的装置,其中,所述第一气体分配端口的不同子集与所述第一凸台中的每个第一凸台相邻,并且每个第一凸台居中于邻近该第一凸台的所述第一气体分配端口之间。
24.根据条款18或条款19所述的装置,其中,所述多个第二气体分配端口的不同子集与所述第二凸台中的每个第二凸台相邻,并且每个第二凸台居中于邻近该第二凸台的所述第二气体分配端口之间。
25.根据条款18或条款19所述的装置,其还包括多个第一支撑柱和多个第二支撑柱,其中:
所述第一支撑柱跨于所述第二表面与所述第四表面之间,以及
所述第二支撑柱跨于所述第六表面与所述第八表面之间。
26.根据条款18或条款19所述的装置,其还包括多个第一半岛,其中:
所述第一入口充气室容积空间具有第一中心点,
每个第一半岛伸入所述第一入口充气室容积空间内,
所述第一气流通道中的一个或多个的所述第二端延伸到所述第一半岛中的每个中,并且
在所述第一半岛内的所述第一气流通道的所述第二端比所述第一气流通道的所述第一端更接近所述第一中心点。
27.根据条款18或条款19所述的装置,其还包括多个第二半岛,其中:
所述第二入口充气室容积空间具有第二中心点,
每个第二半岛伸入所述第二入口充气室容积空间内,
所述第二气流通道中的一个或多个的所述第二端延伸到所述第二半岛中的每个中,并且
在所述第二半岛内的所述第二气流通道的所述第二端比所述第二气流通道的所述第一端更接近所述第二中心点。
附图说明
图1描绘了一示例性的抗瞬变喷头的等轴测分解图。
图2描绘了图1的示例性的抗瞬变喷头的第一隔板的俯视图。
图3描绘了图1的示例性的抗瞬变喷头的面板的俯视图。
图4描绘了图1的示例性的抗瞬变喷头的等角剖视图。
图5描绘了图1的示例性的抗瞬变喷头的截面图。
图6描绘了图5的一部分的详图。
图7示出了示例性的抗瞬变的双充气室喷头的等轴测分解图。
图8描述了图7的示例性的抗瞬变的双充气室喷头的第一隔板的俯视图。
图9描绘了图7的示例性的抗瞬变的双充气室喷头的挡板的俯视图。
图10描绘了图7的示例性的抗瞬变的双充气室喷头的第二隔板的俯视图。
图11描绘了图7的示例性的抗瞬变的双充气室喷头的面板的俯视图。
图12描述了图7的示例性的抗瞬变的双充气室喷头的等角剖视图。
图1至图12中的每个图中按比例绘制,但图与图之间的比例可能不同。
具体实施方式
在下面的描述中,阐述了多个具体细节以提供对所提出的构思的透彻理解。所提出的构思在没有这些具体细节中的一些或全部的情况下也可以实施。在其它情况下,未详细地描述公知的处理操作以避免不必要地模糊所描述的构思。尽管将结合具体实施方式描述一些构思,但应理解这些实施方式不意在进行限制。
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”等等是可互换使用的。用于半导体器件产业中的晶片或衬底典型地具有200mm、或300mm、或450mm的直径,但也可以是非圆形的或者有其它尺寸。除了半导体晶片外,可从本发明获益的其它工件还包括多种物品,例如印刷电路板、磁记录介质、磁记录传感器、镜、光学元件、微机械器件等等。
在本公开的一些附图和描述中已采纳了若干惯例。例如,在多处引述“容积空间(volume)”,例如“充气室容积空间”。这些容积空间一般在各附图中指出,但要理解这些附图和伴随的数值标记表示这些容积空间的近似值并且实际容积空间可例如延伸至对容积空间构成约束的多个固体表面。多个较小容积空间(例如导向充气室容积空间的边界表面的气体入口或其它孔)可流体连接至这些充气室容积空间。
应理解,例如“之上”、“在……顶部”、“之下”、“下面”等相对术语的使用应被理解为指在喷头正常使用期间一些部件的与这些部件的方位有关或与该页的附图的方位有关的空间关系。在正常的使用中,喷头通常被定向以在衬底处理操作期间向下朝向衬底分配气体。
半导体制造通常要求处理气体(诸如沉积气体和蚀刻气体)以均匀或受控的方式在正在进行处理的半导体晶片或衬底上流动。为此目的,本文中也称为气体分配歧管的并且有时也被称为气体分配器的“喷头”可以用来在晶片的整个表面上分配气体。当气体开始流入喷头时,初始气流可能花费不同的时间以到达在喷头的整个面板布置的气体分配端口中的每一个,这可能会导致在喷头的整个面的非均匀的气体分布。在穿过喷头的气流稳定后,例如,在喷头的一个或多个充气室容积空间内的压强环境稳定后,气流会均匀得多。但是,在初始瞬变期间,充气室容积空间内的压强会波动,这可能会导致整个面板的不平衡流动特性。由于这种瞬变流的不可预测性,因此在半导体处理过程中,该瞬变流期间通常是“丢失”的时间。
在长时间的半导体处理过程中,例如,在具有数百秒或更长的循环时间的处理过程中,可能是几秒钟的瞬变期间会构成整个循环持续时间的相对小的部分,因此“丢失”的时间可能构成整个循环时间的相对小的部分。然而,在持续时间短的半导体处理中,例如在原子层沉积(ALD)中,瞬变期间会具有更明显的影响。例如,在ALD中,约几秒或约十分之一秒的输送时间是常见的,如果每个循环还必须包含由于瞬变而丢失的时间,那么很容易理解,瞬变的损失会如何显著延长整个处理时间。
在此讨论的抗瞬变喷头提供了用于从半导体处理系统最大限度地减少或降低瞬变流响应的或者甚至针对相关的循环时间完全消除它的新机制。
抗瞬变喷头,通常来说,可配置有至少两个充气室,即气体入口充气室和气体分配充气室。每个充气室可限定单独的充气室容积空间。这样的喷头还可以包括在第一端与气体入口充气室容积空间流体地连接并在第二端与气体分配充气室容积空间流体地连接的多个气流通道。在许多情况下,隔板可以将气体入口充气室与气体分配充气室分离,气流通道可以被加工进入隔板的一个面内;位于气流通道的第二端的孔可使气体能从入口充气室容积空间流动并流进气流通道内,以便随后穿过隔板并进入气体分配充气室容积空间。气流通道的用途是从入口充气室容积空间输送大致相等比例的气体至气体分配充气室容积空间的分配位置。例如,该气流通道的第二端可被布置在多个同心的或近乎同心(例如,彼此具有在几毫米内的中心点)的圆形图案以便在不同的分配位置将气体输送到气体分配充气室容积空间内。因此,一些第二端可以位于所述气体分配充气室容积空间的外周附近,一些朝向气体分配充气室容积空间的中心,以及一些在这两个位置之间。
每个气流通道可以具有基本上相同的长度,例如具有±5%的长度变化,并且可以沿其长度保持类似的横截面轮廓或面积,例如,各气流通道沿其长度可以具有恒定的横截面面积。各气流通道还可以包括一个或多个弯曲,这些弯曲导致气流通道最终从在第一端和第二端之间的一些共同的角度改变方向±X度,例如170°±15°或±20°。举例而言,这些弯曲可以包括角度为170°的单个弯曲,角度为100°和70°的两个弯曲,角度为50°、40°和80°的三个弯曲等等。各气流通道内的弯曲的数量的可以是相同的,或者在不同通道中不同,但是,不管在每个通道内有多少弯曲,对于各通道的总弯曲角度可以是在上述的范围内。应该理解的是,“总弯曲角度”是对于给定的气流通道的弯曲角度的绝对值的总和。因此,如果气流通道经历向左90°,然后向右90°的弯曲,则总弯曲角度是180°,而不是0°。通过包括在每个气流通道内的相同的标称总弯曲角度、截面积轮廓、和通道长度,可以引起气流通道呈现基本上类似的流动阻力,这会导致流动通过气流通道的气体以相同的速率通过所有的通道流动,即使在瞬变流动期间也如此。在一些实施方式中,总弯曲角度可以是介于,但不限于,140°至200°之间,即,比上面讨论的170°±15°较放宽或较弯曲。
另外的性能提高可通过包括多个从面板朝着从气流通道输送气体到气体分配充气室容积空间中的孔向上突出的凸台来实现。这些凸台中的每一个可以居中于这些孔中的相应一个孔的下方,使得流出该孔的气体冲击凸台的中心,从而导致气体经历流动方向的约90°的改变,例如,气流从沿孔轴线流动改变成沿总体上平行于面板的方向流动。凸台因此充当用于进一步以更均匀的方式在整个气体分配充气室容积空间分配气体的“微型挡板”。
图1描绘了一示例性的抗瞬变喷头的等轴测分解图。可以看到,抗瞬变喷头100被示出。该喷头100包括可被用于输送第一处理气体至喷头100的杆180;该杆可提供气体至第一气体入口112(替代地,杆180也可以被认为是第一气体入口112)。杆180可以与背板102例如通过铜焊连接、扩散粘合连接、焊接连接或螺栓连接等连接。背板102可以进而与第一隔板108配合。第一隔板108可以包括被加工或以其他方式形成于其内的各种特征,这些特征限定第一入口充气室容积空间142和多个第一气流通道138。第一气流通道138可以具有以与所述第一入口充气室容积空间142流体连接并且沿着第一入口充气室容积空间142的外周布置的第一端;第一气流通道138通常可以从第一入口充气室容积空间142的外周向外辐射,然后借助于上述的在各第一气流通道138的弯曲基本反转其方向。
喷头100也可以包括面板104,面板104包括在整个面板104布置成图案的多个第一气体分配端口134。面板104可以配合到第一隔板108,使得第一气体分配充气室容积空间146被形成。第一气体分配充气室容积空间146可以通过多个第一气流通道138与第一入口充气室容积空间142流体连接。
一般而言,第一入口充气室容积空间142与第一气体分配充气室容积空间146可以至少部分地以各主要表面为界。例如,背板102可提供第一表面116,处理气体可通过该第一表面116从第一气体入口112引入并进入第一入口充气室容积空间142内;因此第一表面116可充当第一入口充气室容积空间142的一个边界。类似地,面板104可以提供第二表面118,处理气体可从第一气体分配充气室容积空间146途径第一气体分配端口134穿过该第二表面118;因此,第二表面118可以充当第一气体分配充气室容积空间146的一个边界。类似地,第一隔板108可以具有第三表面120和第四表面122,第三表面120和第四表面122可分别充当第一入口充气室容积空间142和第一气体分配充气室容积空间146的进一步的边界。
应该理解的是,这些表面不必一定由所描绘的确切部件提供。事实上,在一些实施方式中,甚至有可能没有离散的面板、背板、或隔板。例如,喷头100可被制造为整体结构,例如,通过使用添加制造技术来制造,添加制造技术诸如直接金属激光烧结,或者,如果陶瓷喷头是所期望的,则使用陶瓷烧结工艺。在使用多个板结构的实现方式中,如在所描述的例子中,可能希望包括分度销106或其它类似的特征结构,以确保各板被正确对齐。应该理解的是,如果使用多板结构,则形成整体喷头结构的各种板可沿它们的配合表面被焊接或扩散粘合在一起,以防止气体在这些板的接触面之间流动。
在图1中还可以看到有多个第一凸台160,其中的每一个位于第一气流通道138中的一个的第二端的下方。除了第一凸台外,还可以任选地包括多个第一支撑柱164。不同于不接触第四表面122的第一凸台160,第一支撑柱164可以提供结构支撑以及热传导路径给面板104,并且因此可延伸至第四表面122并接触第四表面122(且可焊接或扩散粘合至第四表面122以用作结构支撑件)。
从实践的角度而言,在抗瞬变喷头中包含大量的气流通道可能是合乎希望的。然而,随着包含在抗瞬变喷头中的气流通道的数量增加,相应的入口充气室容积空间的尺寸也必定增大,以适应沿入口充气室容积空间的周边的各气流通道与入口充气室容积空间之间的连接点的数目的增加。在某些时候,随着气流通道的数量的增加,入口充气室容积空间的尺寸可以扩展到足够大的程度,使得放置一些孔可能是合乎期望的,这些孔使气体从气流通道进给至气体入口充气室容积空间的周边内的气体分配充气室容积空间。为了做到这一点,在保持各气流通道之间的流体隔离的同时,可包含若干半岛。每个半岛可从入口充气室容积空间的标称最外周边伸出到入口充气室容积空间内。每个半岛可以包括可以被用于输送气体到这样的位置的一个或多个气流通道。
图2描绘了图1的示例性的抗瞬变喷头的第一隔板108的俯视图。在该图中可以更详细地看到,每个第一气流通道138具有沿着通道的长度的某些位点形成的弯曲154。此外,每个第一气流通道138与其它第一气流通道138具有基本上相同的长度,在这种情况下,长度为约170mm±5%。为说明起见,第一气流通道138在这个示例中是约2mm宽。每个第一气流通道138在第一端150与第一入口充气室容积空间142流体连接,并且在第二端152通过第一孔156流体连接到第一气体分配充气室容积空间146。可以看到,第一孔156中的六个位于第一入口充气室容积空间142的最外周边内;提供气体到这些第一孔156的第一气流通道138通过半岛168与第一入口充气室容积空间142隔开,半岛168延伸到第一入口充气室容积空间142内。可在喷头100中看出,有六十个从第一入口充气室容积空间142向外辐射的第一气流通道138。如果第一入口充气室容积空间被设定尺寸以处于第一孔156(位于所述半岛168内的第一孔156)的图案的最里面内,那么这样高数量的第一气流通道138将不能够(在所指示的通道宽度)沿着第一入口充气室容积空间142的外周安装。
图3描绘了图1的示例性的抗瞬变喷头的面板104的俯视图。可以看出,第一凸台160以在整个第一气体分配充气室容积空间146相对地分散的方式布置。
图4描绘了图1的示例性的抗瞬变喷头的等角剖视图。可以看出,每个第一孔156定位在对应的第一凸台160的正上方。通过第一气体入口112流入到第一入口充气室容积空间142内的气体通常可同时到达所有的第一气流通道138的第一端150,并且可以凭借具有标称相同的长度且沿其长度具有标称相同的总弯曲角度和横截面的第一气流动通道138,在大致相同的时间到达第一气流通道138的第二端152。这导致在多个位点(例如,每个第一孔156)大致同时引入气体到第一气体分配充气室容积空间内。第一凸台160可以操作以进一步在整个第一气体分配充气室容积空间146分配气体,使得气体以大体均匀的方式流过第一气体分配端口134,即使当喷头100内的气流还没有达到稳定状态也如此。
图5描绘了图1中的示例性的抗瞬变喷头的截面图。描绘了各种板,例如,面板104、背板102和第一隔板108,以及第一表面116、第二表面118、第三表面120和第四表面122。图5还表示了在图6中更详细地显示的圆形区域。
图6描绘了图5的一部分的详图。该详图示出了第一气流通道138中的一个的第二端152,以及将第一气流通道138流体连接到第一气体分配充气室容积空间146的第一孔156。图6中还描绘了跨于第二表面118和第四表面122之间的第一支撑柱164。也可见两个第一凸台160,包括第一孔156正下方的一个。可以明显看出,在第一孔156下方的第一凸台160居中于第一孔156下方。此外,第一间隙176存在于第一凸台160和第四表面122之间。在所描绘的示例中,第一孔156具有2mm的直径,第一凸台160的直径为6.5mm,并且第一间隙176为0.5mm。在若干实施方案中,第一间隙176可为第一凸台160的标称直径的函数,并且在一些这样的实施方案中,第一间隙176可以是在介于凸台的标称直径的1/11到凸台标称直径的1/13之间的范围内,例如,凸台直径的约1/12。在其它实施方案中,第一间隙176可为第一凸台160的标称直径和第一孔156的直径的函数,例如,可选择第一间隙176,使得第一间隙176除以第一凸台160的直径和第一孔156的直径之间的差的两倍是在0.1和1之间。
前面的示例针对仅支持单一的处理气体的流动的喷头100。如所讨论的,本文所讨论的构思也可以应用于多流或多充气室喷头。该构思在下面更详细讨论被配置为使两种处理气体同时流动的喷头。在双流示例中的结构中的许多对应于前文相对于单流喷头100讨论的结构。为了避免冗长,这些部件在下文不会再描述;在这种情况下,在喷头100中的类似的结构的前述讨论可以被用来表示描述。在喷头100和下面讨论的双流喷头之间相似的部件的附图标记数字的后两位数字可以相同。
图7描绘了示例性的抗瞬变的双充气室喷头的等轴测分解图。可以看到,杆780被提供,其使得两个单独的气体能被提供给喷头700;杆可以被连接到背板702。杆780可以包括两组通道,一组包括沿杆780的中心运行的通道,而另一组包括置于中心通道和外套筒(示出的杆780的下部)之间的圆形通道阵列。在这个示例中,杆中的圆形气流通道阵列为第一气体入口712(围绕背板702的中心孔排列的六个孔)提供气体,而中心气流通道为第二进气口714(在背板702内的中心孔)提供气体。喷头700还可以包括第一隔板708、第二隔板710、挡板778和面板704。
图8描绘了图7中的示例性的抗瞬变的双充气室喷头的第一隔板的俯视图,第一隔板708非常类似于第一隔板108,但至少有两点不同。例如,可以有位于第一入口充气室容积空间742的中心的中心凸台782;该中心凸台782可用于减小第一入口充气室容积空间742的尺寸,以减少使第一处理气体流过第一入口充气室容积空间所花费的时间,并且还可以在一些实施方案中帮助使从充当第一气体入口712的六个端口流出的气流均匀。在一些实施方案中,第一入口充气室容积空间可被划分成多个第一入口充气室子容积空间,每个子容积空间由第一气体入口中的不同的一个进给。另一不同之处在于有穿过第一隔板708的多个第二孔758。
图9描绘了图7的抗瞬变的双充气室喷头的挡板的俯视图。在该实施方案中,挡板778是与面板104非常相似的,不同点在于第一支撑柱764数量更多,并且与第一支撑柱164排列不同。从图7中可以看出,每个第一支撑柱764的位置对应于在第一隔板708中的第二孔758中的一个,以及相应的第二孔758继续通过第一支撑柱764。这样,第一支撑柱764不仅提供结构支撑和在第一隔板708和挡板778之间的热传导通路,而且还通过挡板提供气体流动的途径,该挡板保持该气体与在第一气体分配充气室容积空间746内的气体分离。如同面板104,挡板778可包括每一个定位在第一孔756(后面示出)的下方的多个第一凸台760,第一孔756将第一气流通道738中的一个与第一气体分配充气室容积空间746流体连接。如同面板104,挡板778可以包括多个第一气体分配端口734,第一气体分配端口734可将气体从第一气体分配充气室容积空间746供给到紧接在第一气体分配充气室下方的第二气体分配充气室容积空间或至喷头700下方的晶片处理区。
图10描绘了图7的示例抗瞬变的双充气室喷头的第二隔板的俯视图。第二隔板710可以发挥与第一隔板708类似的功能,但是,是相对于第二气体入口714。可以看到,多个基本等长的第二气流通道740经由第二孔758将第二入口充气室容积空间744与第二气体分配充气室容积空间748(见图11)流体连接;第二气体分配充气室容积空间748在这个示例中是在挡板778和面板704之间形成的充气室容积空间。第二气流通道740在这种情况下并且如同第一气流通道738,可具有与第二入口充气室容积空间744连接的第一端750和与第二孔758流体连接的第二端752。可以看到,每个第二气流通道740也可以包括弯曲754,该弯曲754可以是类似于第一气流通道738中的弯曲754的,但是可以看出,其弯曲角度会较放宽。类似于在第一入口充气室容积空间742的第一半岛768,第二入口充气室容积空间744也可以包括多个第二半岛770,该多个第二半岛770使得第二孔758中的一些可以位于第二入口充气室容积空间744的外周边内。
图11描绘了图7的示例抗瞬变的双充气室喷头的面板的俯视图。面板704可包括多个第二气体分配端口736和多个第一气体分配端口734。第二气体分配充气室容积空间748可在面板704和挡板778之间形成,并且可包括充当用于第二孔758的微型挡板的第二凸台762的图案,与第一凸台760适用于第一孔756相当类似。
在一些实施方案中,在挡板778中的每个第一气体分配端口734可通过管状结构784被流体连接到在面板704内的对应的第一气体分配端口734,管状结构784使流过第一气体分配端口734的气体与流过喷头700中的第二气体分配充气室容积空间748的气体分离。
图12描绘了图7的示例性的抗瞬变的双充气室喷头的等角剖视图,并且可以提供对喷头700的结构的更深入的了解。
类似于第一入口充气室容积空间742和第一气体分配充气室容积空间746,第二入口充气室容积空间744和第二气体分配充气室容积空间748也可以以各种表面为界。这些表面如在图7中所示。例如,第二入口充气室容积空间744可部分地以第五表面724和第七表面728为界,而第二气体分配充气室容积空间748可部分地以第六表面726和第八表面730为界。
在多充气室喷头中,入口充气室相对于气体分配充气室的定位可以根据需要针对任何特定设计重新排序,它们不必定是在所描绘的布置中。例如,在所描绘的实施方案中,第一入口充气室容积空间和第一气体分配充气室容积空间被界定在第二入口充气室容积空间和第二气体分配充气室容积空间之间。然而,在其它实施方案中,这种排序可以被改变。举非限制性示例而言,以下任何顺序也可以在该构思的不同实施方案中使用:
在这种情况下,用于第一气体的充气室容积空间使用于第二气体的充气室容积空间置于它们之间,这些用于第一气体的充气室容积空间可通过下述方式流体连接:使流体连接用于第一气体的充气室的孔(例如,第一孔)在用于第二气体的气流通道之间穿过或穿过用于第二气体的一个或多个充气室内的支撑柱。

Claims (38)

1.一种抗瞬变喷头,其包括:
第一气体入口;
第一表面,其中所述第一气体入口被配置为输送第一处理气体通过所述第一表面;
多个第一气体分配端口;
第二表面,其中所述第一气体分配端口被配置成输送所述第一处理气体通过所述第二表面;
第三表面,其置于所述第一表面和第二表面之间;
第四表面,其置于所述第三表面和第二表面之间;和
多个第一气流通道,其置于所述第一表面和所述第三表面之间,其中:
所述第一表面和所述第三表面至少部分地限定与所述第一气体入口流体连接的第一入口充气室容积空间,
所述第二表面和所述第四表面至少部分地限定与所述第一气体分配端口流体连接的第一气体分配充气室容积空间,
第一气流通道各自具有第一端和第二端,所述第一端将所述第一气流通道与所述第一入口充气室容积空间流体连接,所述第二端将所述第一气流通道与所述第一气体分配充气室容积空间流体连接,以及
所述第一气流通道中的每个具有其它第一气流通道的长度±30%内的长度,在第一端延伸远离所述第一入口充气室容积空间,并且包括介于所述第一端和所述第二端之间的在140°和200°之间的弯曲,使得所述第一气流通道的所述第二端朝向所述第一入口充气室容积空间定位。
2.根据权利要求1所述的抗瞬变喷头,其中:
每个第一气流通道的所述第二端通过穿过所述第四表面的相应的第一孔与所述第一气体分配充气室容积空间流体连接,
多个第一凸台从所述第二表面朝向所述第四表面向上延伸,并且
每个第一凸台居中于所述第一孔中的相应的一个上并且具有顶表面,所述顶表面面对所述第四表面并且从所述第四表面偏移相应的第一距离。
3.根据权利要求2所述的抗瞬变喷头,其中:
每个相应的第一距离介于0.025mm和1.2mm之间。
4.根据权利要求2所述的抗瞬变喷头,其中:
每个第一凸台具有标称直径,并且
每个相应的第一距离介于所述标称直径的1/11和1/13之间。
5.根据权利要求2所述的抗瞬变喷头,其中:
每个第一孔具有标称孔直径,
每个第一凸台具有标称台直径,并且
每个相应的第一距离小于介于相应的所述第一凸台的所述标称台直径和相应的所述第一孔的所述标称孔直径之间的差的2倍并且大于介于相应的所述第一凸台的所述标称台直径和相应的所述第一孔的所述标称孔直径之间的差的0.2倍。
6.根据权利要求2-5中任一项所述的抗瞬变喷头,其中第一气体分配端口的不同子集与所述第一凸台中的每个第一凸台相邻,并且每一个第一凸台都居中于所述多个第一气体分配端口中的与该第一凸台相邻的第一气体分配端口之间。
7.根据权利要求2-5中任一项所述的抗瞬变喷头,其还包括多个第一支撑柱,其中,所述第一支撑柱跨于所述第二表面与所述第四表面之间。
8.根据权利要求2-5中任一项所述的抗瞬变喷头,其中,所述第一孔具有介于1.5mm和3mm之间的直径。
9.根据权利要求2-5中任一项所述的抗瞬变喷头,其中,所述第一凸台具有介于5mm和8mm之间的直径。
10.根据权利要求1-5中任一项所述的抗瞬变喷头,其还包括多个第一半岛,其中:
所述第一入口充气室容积空间具有第一中心点,
每个第一半岛伸入所述第一入口充气室容积空间内,
所述第一气流通道中的一个或多个的所述第二端延伸到所述第一半岛中的每个中,并且
在所述第一半岛内的所述第一气流通道的所述第二端比所述第一气流通道的所述第一端更接近所述第一中心点。
11.根据权利要求1-5中任一项所述的抗瞬变喷头,其中每个第一气流通道包括介于所述第一端和所述第二端之间的在150°和190°之间的弯曲。
12.根据权利要求1-5中任一项所述的抗瞬变喷头,其中,所述第一气流通道中的每个具有其它第一气流通道的长度±5%内的长度。
13.根据权利要求1-5中任一项所述的抗瞬变喷头,其中,所述第一气流通道中的每个具有其它第一气流通道的长度±10%内的长度。
14.根据权利要求1-5中任一项所述的抗瞬变喷头,其中,所述第一气流通道中的每个具有其它第一气流通道的长度±20%内的长度。
15.根据权利要求1-5中任一项所述的抗瞬变喷头,其中,所述第一气流通道中的每个具有与其它第一气流通道相同的总长度。
16.根据权利要求1-5中任一项所述的抗瞬变喷头,其中,所述第一气流通道中的每个沿其长度具有恒定的横截面面积。
17.根据权利要求1-5中任一项所述的抗瞬变喷头,其中,所述第一气流通道中的每个的第一端与所述抗瞬变喷头的第一轴线是等距离的。
18.根据权利要求1-5中任一项所述的抗瞬变喷头,其中,所述抗瞬变喷头包括介于20个和100个之间的第一气流通道。
19.根据权利要求2-5中任一项所述的抗瞬变喷头,其还包括:
第二气体入口;
第五表面,其中所述第二气体入口被配置为输送第二处理气体通过所述第五表面;
多个第二气体分配端口;
第六表面,其中所述第二气体分配端口被配置成输送所述第二处理气体通过所述第六表面;
第七表面,其置于所述第五表面和第六表面之间;
第八表面,其置于所述第六表面和第七表面之间;和
多个第二气流通道,其置于所述第五表面和所述第七表面之间,其中:
所述第五表面和所述第七表面至少部分地限定与所述第二气体入口流体连接的第二入口充气室容积空间,
所述第六表面和所述第八表面至少部分地限定与所述第二气体分配端口流体连接的第二气体分配充气室容积空间,
所述第二气流通道各自具有第一端和第二端,所述第一端将所述第二气流通道与所述第二入口充气室容积空间流体连接,所述第二端将所述第二气流通道与所述第二气体分配充气室容积空间流体连接,以及
所述第二气流通道中的每个具有其它第二气流通道的长度±30%内的长度,在所述第一端延伸远离所述第二入口充气室容积空间,并且包括介于所述第一端和所述第二端之间的在140°和200°之间的弯曲,使得该第二气流通道的所述第二端朝向所述第二入口充气室容积空间定位。
20.根据权利要求19所述的抗瞬变喷头,其中:
每个第二气流通道的所述第二端通过穿过所述第八表面的相应的第二孔与所述第二气体分配充气室容积空间流体连接,
多个第二凸台从所述第六表面朝向所述第八表面向上延伸,
每个第二凸台居中于所述第二孔中的相应的一个上并且具有顶表面,所述顶表面面对所述第八表面并且从所述第八表面偏移相应的第二距离。
21.根据权利要求20所述的抗瞬变喷头,其中,每个相应的第二距离介于0.025mm和1.2mm之间。
22.根据权利要求20所述的抗瞬变喷头,其中:
每个第二凸台具有标称直径,并且
每个相应的第二距离介于相应的所述第二凸台的所述标称直径的1/11和1/13之间。
23.根据权利要求20所述的抗瞬变喷头,其中:
每个第二孔具有标称孔直径,
每个第二凸台具有标称台直径,并且
每个相应的第二距离小于介于相应的所述第二凸台的所述标称台直径和相应的所述第二孔的所述标称孔直径之间的差的2倍并且大于介于相应的所述第二凸台的所述标称台直径和相应的所述第二孔的所述标称孔直径之间的差的0.2倍。
24.根据权利要求19所述的抗瞬变喷头,其还包括一个或多个另外的第一气体入口,其中所述第一入口充气室容积空间被划分成多个第一入口充气室子容积空间,每个第一入口充气室子容积空间通过所述第一气体入口中的不同第一气体入口进给。
25.根据权利要求20所述的抗瞬变喷头,其还包括一个或多个另外的第一气体入口,其中所述第一入口充气室容积空间被划分成多个第一入口充气室子容积空间,每个第一入口充气室子容积空间通过所述第一气体入口中的不同第一气体入口进给。
26.根据权利要求19所述的抗瞬变喷头,其中,所述第一入口充气室容积空间和所述第一气体分配充气室容积空间置于所述第二入口充气室容积空间和第二气体分配充气室容积空间之间。
27.根据权利要求20所述的抗瞬变喷头,其中,所述第一入口充气室容积空间和所述第一气体分配充气室容积空间置于所述第二入口充气室容积空间和第二气体分配充气室容积空间之间。
28.根据权利要求19所述的抗瞬变喷头,其中,所述第一入口充气室容积空间和所述第二气体分配充气室容积空间置于所述第二入口充气室容积空间和所述第一气体分配充气室容积空间之间。
29.根据权利要求20所述的抗瞬变喷头,其中,所述第一入口充气室容积空间和所述第二气体分配充气室容积空间置于所述第二入口充气室容积空间和所述第一气体分配充气室容积空间之间。
30.根据权利要求19所述的抗瞬变喷头,其中,所述第一气体分配端口的不同子集与所述第一凸台中的每个第一凸台相邻,并且每个第一凸台居中于邻近该第一凸台的所述第一气体分配端口之间。
31.根据权利要求20所述的抗瞬变喷头,其中,所述第一气体分配端口的不同子集与所述第一凸台中的每个第一凸台相邻,并且每个第一凸台居中于邻近该第一凸台的所述第一气体分配端口之间。
32.根据权利要求20所述的抗瞬变喷头,其中,所述多个第二气体分配端口的不同子集与所述第二凸台中的每个第二凸台相邻,并且每个第二凸台居中于邻近该第二凸台的所述第二气体分配端口之间。
33.根据权利要求19所述的抗瞬变喷头,其还包括多个第一支撑柱和多个第二支撑柱,其中:
所述第一支撑柱跨于所述第二表面与所述第四表面之间,以及
所述第二支撑柱跨于所述第六表面与所述第八表面之间。
34.根据权利要求20所述的抗瞬变喷头,其还包括多个第一支撑柱和多个第二支撑柱,其中:
所述第一支撑柱跨于所述第二表面与所述第四表面之间,以及
所述第二支撑柱跨于所述第六表面与所述第八表面之间。
35.根据权利要求19所述的抗瞬变喷头,其还包括多个第一半岛,其中:
所述第一入口充气室容积空间具有第一中心点,
每个第一半岛伸入所述第一入口充气室容积空间内,
所述第一气流通道中的一个或多个的所述第二端延伸到所述第一半岛中的每个中,并且
在所述第一半岛内的所述第一气流通道的所述第二端比所述第一气流通道的所述第一端更接近所述第一中心点。
36.根据权利要求20所述的抗瞬变喷头,其还包括多个第一半岛,其中:
所述第一入口充气室容积空间具有第一中心点,
每个第一半岛伸入所述第一入口充气室容积空间内,
所述第一气流通道中的一个或多个的所述第二端延伸到所述第一半岛中的每个中,并且
在所述第一半岛内的所述第一气流通道的所述第二端比所述第一气流通道的所述第一端更接近所述第一中心点。
37.根据权利要求19所述的抗瞬变喷头,其还包括多个第二半岛,其中:
所述第二入口充气室容积空间具有第二中心点,
每个第二半岛伸入所述第二入口充气室容积空间内,
所述第二气流通道中的一个或多个的所述第二端延伸到所述第二半岛中的每个中,并且
在所述第二半岛内的所述第二气流通道的所述第二端比所述第二气流通道的所述第一端更接近所述第二中心点。
38.根据权利要求20所述的抗瞬变喷头,其还包括多个第二半岛,其中:
所述第二入口充气室容积空间具有第二中心点,
每个第二半岛伸入所述第二入口充气室容积空间内,
所述第二气流通道中的一个或多个的所述第二端延伸到所述第二半岛中的每个中,并且
在所述第二半岛内的所述第二气流通道的所述第二端比所述第二气流通道的所述第一端更接近所述第二中心点。
CN201610361563.2A 2015-05-26 2016-05-26 抗瞬变喷头 Active CN106191814B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811101686.8A CN109536924B (zh) 2015-05-26 2016-05-26 抗瞬变喷头

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562166612P 2015-05-26 2015-05-26
US62/166,612 2015-05-26
US15/163,594 US10023959B2 (en) 2015-05-26 2016-05-24 Anti-transient showerhead
US15/163,594 2016-05-24

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201811101686.8A Division CN109536924B (zh) 2015-05-26 2016-05-26 抗瞬变喷头

Publications (2)

Publication Number Publication Date
CN106191814A CN106191814A (zh) 2016-12-07
CN106191814B true CN106191814B (zh) 2018-10-26

Family

ID=57398130

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610361563.2A Active CN106191814B (zh) 2015-05-26 2016-05-26 抗瞬变喷头
CN201811101686.8A Active CN109536924B (zh) 2015-05-26 2016-05-26 抗瞬变喷头

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201811101686.8A Active CN109536924B (zh) 2015-05-26 2016-05-26 抗瞬变喷头

Country Status (4)

Country Link
US (2) US10023959B2 (zh)
KR (2) KR102511464B1 (zh)
CN (2) CN106191814B (zh)
TW (1) TWI689353B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109536924A (zh) * 2015-05-26 2019-03-29 朗姆研究公司 抗瞬变喷头
US12000047B2 (en) 2023-02-02 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US11189502B2 (en) * 2018-04-08 2021-11-30 Applied Materials, Inc. Showerhead with interlaced gas feed and removal and methods of use
CN111954927A (zh) * 2018-04-17 2020-11-17 应用材料公司 加热的陶瓷面板
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
JP2022551152A (ja) * 2019-10-14 2022-12-07 ラム リサーチ コーポレーション 二重プレナムフラクタルシャワーヘッド
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
US20230097687A1 (en) * 2021-09-30 2023-03-30 Entegris, Inc. Additive manufactured articles having coated surfaces and related methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080081124A1 (en) * 2006-09-29 2008-04-03 Fujifilm Corporation Ink jet ink composition, and image formation method and recorded material employing same
US20130341433A1 (en) * 2012-06-22 2013-12-26 Shambhu N. Roy Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
CN103521956A (zh) * 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
CN103890911A (zh) * 2011-10-28 2014-06-25 应用材料公司 气体分散设备
US20140299681A1 (en) * 2013-04-05 2014-10-09 Dhritiman S. Kashyap Cascade design showerhead for transient uniformity

Family Cites Families (422)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
BE628052A (zh) 1962-03-15
US4315173A (en) 1980-03-30 1982-02-09 Westinghouse Electric Corp. Dynamoelectric machines segmental air gap baffle assembly
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4647512A (en) 1986-03-20 1987-03-03 The Perkin-Elmer Corporation Diamond-like carbon films and process for production thereof
US4671204A (en) 1986-05-16 1987-06-09 Varian Associates, Inc. Low compliance seal for gas-enhanced wafer cooling in vacuum
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
JP3147392B2 (ja) 1991-03-04 2001-03-19 宇部サイコン株式会社 熱可塑性樹脂組成物
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH05267186A (ja) 1992-03-18 1993-10-15 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
JP3120302B2 (ja) 1992-04-30 2000-12-25 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造法
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP2870719B2 (ja) 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
CH687258A5 (de) 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JP3172537B2 (ja) 1994-03-29 2001-06-04 カール−ツァイス−スティフツング 湾曲した基材のコーティング用pcvd法及び装置
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
EP0710055B1 (en) 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5855465A (en) 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5728260A (en) 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP4048387B2 (ja) 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
JP3208376B2 (ja) 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6150544A (en) 1998-06-30 2000-11-21 Japan Polyolefins Co., Ltd. Transition metal compound and catalyst containing the same for polymerization of olefins
KR100343134B1 (ko) 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP3320387B2 (ja) 1998-09-07 2002-09-03 キヤノン株式会社 電子源の製造装置及び製造方法
US6191011B1 (en) 1998-09-28 2001-02-20 Ag Associates (Israel) Ltd. Selective hemispherical grain silicon deposition
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP4149051B2 (ja) 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6241825B1 (en) 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245149B1 (en) 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6539963B1 (en) 1999-07-14 2003-04-01 Micron Technology, Inc. Pressurized liquid diffuser
US6419751B1 (en) 1999-07-26 2002-07-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6205869B1 (en) * 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
JP4809562B2 (ja) 1999-12-22 2011-11-09 アイクストロン、アーゲー 化学気相成膜反応室
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
JP3953247B2 (ja) 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
JP3654142B2 (ja) 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
JP3483537B2 (ja) 2000-03-06 2004-01-06 キヤノン株式会社 画像表示装置の製造方法
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
WO2001066817A1 (en) 2000-03-09 2001-09-13 Semix Incorporated Wafer processing apparatus and method
US6848961B2 (en) 2000-03-16 2005-02-01 Canon Kabushiki Kaisha Method and apparatus for manufacturing image displaying apparatus
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP3667256B2 (ja) 2000-06-30 2005-07-06 キヤノン株式会社 電子源の製造装置
AU2001290171A1 (en) 2000-07-26 2002-02-05 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
EP1772534A3 (en) 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
JP3793014B2 (ja) 2000-10-03 2006-07-05 キヤノン株式会社 電子源の製造装置、電子源の製造方法及び画像形成装置の製造方法
WO2002033729A2 (en) 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
AU2002241496A1 (en) 2000-11-20 2002-06-18 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
EP1361604B1 (en) 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR100735932B1 (ko) 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP2002260218A (ja) 2001-03-05 2002-09-13 Anelva Corp 磁気記録ディスク、磁気記録ディスク製造方法及び磁気記録ディスク製造装置
US6939206B2 (en) 2001-03-12 2005-09-06 Asm Nutool, Inc. Method and apparatus of sealing wafer backside for full-face electrochemical plating
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6534802B1 (en) 2001-05-07 2003-03-18 Newport Fab, Llc Method for reducing base to collector capacitance and related structure
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6551339B2 (en) 2001-08-23 2003-04-22 Stas Gavronsky Acupuncture device with improved needle guide tube
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US20030070760A1 (en) 2001-10-15 2003-04-17 Plasmion Corporation Method and apparatus having plate electrode for surface treatment using capillary discharge plasma
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
AU2002343583A1 (en) 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
TWI291487B (en) 2002-01-08 2007-12-21 Chi Mei Optoelectronics Corp Red-emitting organic electroluminescent compound
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6586349B1 (en) 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP2003271218A (ja) 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US7208195B2 (en) 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7473377B2 (en) 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
EP1608791A2 (en) 2002-12-23 2005-12-28 Applied Thin Films, Inc. Aluminum phosphate coatings
CN100419939C (zh) 2003-01-21 2008-09-17 佳能株式会社 通电处理方法和电子源衬底的制造方法
US7225820B2 (en) 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
JP4591651B2 (ja) 2003-02-27 2010-12-01 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
KR100490049B1 (ko) 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20040261712A1 (en) 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
WO2004107394A2 (ja) 2003-05-27 2004-12-09 Matsushita Electric Works, Ltd. プラズマ処理装置、プラズマ生成用の反応器の製造方法、及びプラズマ処理方法
US20050178336A1 (en) 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
WO2005054537A2 (en) 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7700155B1 (en) 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027611A1 (en) 2004-07-23 2006-02-09 Hobbs Wade T Baffled water bottle
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR101309334B1 (ko) 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
JP2006066272A (ja) 2004-08-27 2006-03-09 Canon Inc 画像表示装置
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7449416B2 (en) 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
KR100790392B1 (ko) 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
DE102005056324A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
CN101003895B (zh) * 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US7670432B2 (en) 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US7883632B2 (en) 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
JP2008047869A (ja) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
JP2008027796A (ja) 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008066413A (ja) 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US8137462B2 (en) 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20080299326A1 (en) 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5194125B2 (ja) 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
KR20090078538A (ko) 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
USD593640S1 (en) 2008-01-31 2009-06-02 Hansgrohe Ag Showerhead
JP5230225B2 (ja) 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US20090260571A1 (en) 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5026373B2 (ja) 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
JP2010084190A (ja) 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US20110048325A1 (en) 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
JP4576466B2 (ja) 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101112974B1 (ko) 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
TWI490366B (zh) 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
KR20120053003A (ko) 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP4840832B2 (ja) 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
KR101430744B1 (ko) * 2010-06-21 2014-08-18 세메스 주식회사 박막 증착 장치
CN102971449B (zh) 2010-07-12 2015-01-14 株式会社爱发科 成膜装置
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
CN103109357B (zh) 2010-10-19 2016-08-24 应用材料公司 用于紫外线纳米固化腔室的石英喷洒器
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR101306315B1 (ko) 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
CN106884157B (zh) * 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US20120227665A1 (en) 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6136613B2 (ja) 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) * 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080081124A1 (en) * 2006-09-29 2008-04-03 Fujifilm Corporation Ink jet ink composition, and image formation method and recorded material employing same
CN103890911A (zh) * 2011-10-28 2014-06-25 应用材料公司 气体分散设备
US20130341433A1 (en) * 2012-06-22 2013-12-26 Shambhu N. Roy Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US20140299681A1 (en) * 2013-04-05 2014-10-09 Dhritiman S. Kashyap Cascade design showerhead for transient uniformity
CN103521956A (zh) * 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109536924A (zh) * 2015-05-26 2019-03-29 朗姆研究公司 抗瞬变喷头
US12000047B2 (en) 2023-02-02 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Also Published As

Publication number Publication date
US20160348242A1 (en) 2016-12-01
KR20160138911A (ko) 2016-12-06
CN106191814A (zh) 2016-12-07
US10494717B2 (en) 2019-12-03
CN109536924A (zh) 2019-03-29
KR20230042234A (ko) 2023-03-28
CN109536924B (zh) 2022-04-05
US10023959B2 (en) 2018-07-17
KR102511464B1 (ko) 2023-03-16
TWI689353B (zh) 2020-04-01
US20180340256A1 (en) 2018-11-29
TW201713413A (zh) 2017-04-16

Similar Documents

Publication Publication Date Title
CN106191814B (zh) 抗瞬变喷头
JP4142545B2 (ja) ガス供給装置
TWI531480B (zh) 模製列印桿
JP6068684B2 (ja) 流体流れ構造の成形
CN101772833B (zh) 气体供给装置
KR102151202B1 (ko) 웨이퍼 프로세스 장비에서의 화학물질 제어 피쳐들
CN106167895A (zh) 用于改善流动均匀性的具有面板孔的低体积喷头
KR101599926B1 (ko) 증가된 유동 균일성을 갖는 슬릿 밸브
US20090211707A1 (en) Apparatus for gas distribution and its applications
CN101488446A (zh) 等离子体处理设备及其气体分配装置
JP2010241121A (ja) 流入口及び流出口が中央に形成されたプリントヘッドダイによる流体吐出
TW201605306A (zh) 用於製造模造裝置總成及列印頭總成的方法
EP1172212A3 (en) Bubble-jet type ink-jet printhead
JP2019524590A (ja) 端縁押し上げを伴う非接触支持プラットフォーム
JP4808454B2 (ja) 印刷ヘッド及び印刷装置
US7757497B1 (en) Method and apparatus for cooling electronic components
JP5369128B2 (ja) 浮上式塗布装置
CN107639938B (zh) 液体排出头
JP2005045207A (ja) ウエハー用静電チャック
JP2017183381A (ja) 保持装置
CN114586130A (zh) 双充气室分形喷头
JP4587816B2 (ja) 基板処理装置
KR20050041637A (ko) 반도체 제조공정의 에폭시 디스펜서 및 이를 이용한 다이어태치 방법
Fisher et al. SMT adhesive deposition: the line to success
CN1417031A (zh) 喷墨打印头晶片

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant