CN103890911A - 气体分散设备 - Google Patents

气体分散设备 Download PDF

Info

Publication number
CN103890911A
CN103890911A CN201280051101.0A CN201280051101A CN103890911A CN 103890911 A CN103890911 A CN 103890911A CN 201280051101 A CN201280051101 A CN 201280051101A CN 103890911 A CN103890911 A CN 103890911A
Authority
CN
China
Prior art keywords
gas
main body
gas dispersion
quartz
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280051101.0A
Other languages
English (en)
Other versions
CN103890911B (zh
Inventor
戴维·K·卡尔森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201610847639.2A priority Critical patent/CN107058974A/zh
Publication of CN103890911A publication Critical patent/CN103890911A/zh
Application granted granted Critical
Publication of CN103890911B publication Critical patent/CN103890911B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/6416With heating or cooling of the system
    • Y10T137/6579Circulating fluid in heat exchange relationship
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87153Plural noncommunicating flow paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining
    • Y10T137/87281System having plural inlets
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87885Sectional block structure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种与工艺腔室一起使用的气体分散设备,该气体分散设备包括:石英主体,该石英主体具有顶部、环和底板,该环耦接顶部的底表面,该底板具有多个分散孔相对于顶部耦接该环;多个石英板,所述多个石英板设置于顶部与底板之间,其中多个板被定位在彼此上方并间隔开来,以在多个板的每个板和该底板上方形成气室;多个石英管,所述多个石英管用以将气室耦接至多个分散孔,所述多个石英管的每个石英管具有设置于气室中的一个气室内的第一端,且所述多个石英管的每个石英管具有耦接分散孔中的一个分散孔的第二端;和多个导管,所述多个导管设置穿过该顶部,其中所述多个导管的每个导管耦接气室中的一个气室。

Description

气体分散设备
领域
本发明的实施例一般涉及半导体处理设备。
背景
使用于半导体工艺腔室中的传统的气体分散设备(如,喷淋头、气体分散头或类似物)典型包括水冷的不锈钢主体,该不锈钢主体具有多个气体分散孔,所述多个气体分散孔构造为提供一种或多种工艺气体至工艺腔室的处理空间。然而,本案发明人注意到由于不锈钢的热传递特性的缘故,对某些应用而言,传统的气体分散设备可能无法提供充分的温度控制,因而可能增加气相反应和/或工艺气体成分离解发生在气体分散设备内。
在某些传统的设备中,气体分散孔的尺寸可设计为提供工艺气体成分的高速气体注射,以降低气相反应和工艺气体成分的离解的可能性。然而,本案发明人进一步注意到如此高速注射会在接近气体分散孔处产生气流涡流,从而导致气体分散孔附近的颗粒沉积增加,因而需要更频繁地清洁并维护气体分散设备。
并且,本案发明人注意到利用不锈钢结构的传统的气体分散设备提供了不良的颗粒形成和金属污染控制,进一步导致更频繁的清洁和维护需求,也进一步增加了停机时间并降低了工艺腔室的效能。
因此,本案发明人提供改良的气体分配设备,该气体分配设备可克服至少某些前面所提到的问题和/或可提供其它如下所述的益处。
概述
本文提供气体分散设备的实施例。在某些实施例中,与工艺腔室一起使用的气体分散设备可包括:石英主体,该石英主体具有顶部、环和底板,该环耦接该顶部的底表面,且底板具有多个分散孔相对于该顶部耦接该环;多个石英板,所述多个石英板设置于该顶部与该底板之间,其中所述多个石英板被定位在彼此上方并间隔开来,以在所述多个石英板的每个石英板和该石英底板上形成气室;多个石英管,所述多个石英管用以将气室耦接至所述多个分散孔,所述多个石英管的每个石英管具有设置于气室中的一个气室内的第一端,且所述多个石英管的每个石英管具有耦接所述多个分散孔中的一个分散孔的第二端;和多个导管,所述多个导管设置穿过石英主体的顶部,其中所述多个导管的每个导管耦接气室中的一个气室,以将工艺气体提供至气室。
在某些实施例中,用以提供气体至工艺腔室的气体分散设备可包括:石英主体,所述石英主体具有处理侧、与处理侧相对的第二侧,和至少三个气室,所述至少三个气室包围于石英主体内介于处理侧与第二侧之间,其中各气室彼此之间相互隔离,且至少三组气体分散孔设置于主体的第二侧上,其中所述至少三组气体分散孔的各组气体分散孔流通地耦接所述至少三个气室中的一个对应的气室;多个石英管,所述多个石英管设置于石英主体内,各石英管将气体分散孔耦接至所述至少三个气室中的一个气室;和多个导管,所述多个导管设置穿过该主体的第二侧,其中所述多个导管中的至少一个导管耦接至所述至少三个气室中的一个对应的气室,以将工艺气体提供至该对应的气室。
以下描述本发明的其它及进一步实施例。
附图简要说明
可通过参照描绘于随附附图中的本发明的说明性实施例,而了解以上所简述且更详细于下文中讨论的本发明的实施例。然而,应注意的是,随附附图仅为说明本发明的典型实施例,而非用于限制其范围,本发明也允许其它同等有效的实施例。
图1描绘根据本发明的某些实施例,适于与气体分散设备一起使用的工艺腔室的示意侧视图。
图2至图7描绘根据本发明的某些实施例的气体分散设备的多个实施例的截面图。
图8描绘根据本发明的某些实施例的气体分散设备的底视图。
为方便了解,在可能情况下已使用相同标号以指出各附图中所共有的相同元件。附图并非按比例绘制,且可能为了清晰而加以简化。可考虑将一个实施例的元件和特征有利地并入其它实施例,而无需进一步记载。
具体描述
本文提供气体分散设备的实施例。在至少某些实施例中,相较于传统的气体分散设备,本发明的设备可有利地提供提升的温度控制、改良的对温度改变的反应时间,和/或较大的动态操作范围。本发明的设备的至少某些实施例可进一步有利地提供减少的颗粒形成和污染,从而需要较低的清洁和维护的频率,并因此需要较少的停机时间。本发明的设备的至少某些实施例可进一步有利地提供较低的工艺气体注射速度,因而进一步提供具有减少的颗粒形成和污染的气体分散设备,从而需要较低的清洁和维护的频率,并因此需要较少的停机时间。
图1描绘根据本发明的某些实施例的工艺腔室100的示意侧视图。在某些实施例中,工艺腔室100可为商业上可购得的工艺腔室,诸如可购自加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)的RP 
Figure BDA0000492493260000031
反应器,或适于进行例如外延沉积等沉积工艺的任何合适的半导体工艺腔室。
工艺腔室100大体包括:腔室主体110,所述腔室主体110界定内空间101;气体分散设备103和基板支撑件124,所述基板支撑件124构造为支撑基板125,且气体分散设备103和基板支撑件124设置于内空间101中。内空间101包括处理空间105,处理空间105界定为介于气体分散设备103与基板支撑件124之间的区域。工艺腔室100还可包括如下文中进一步详述的支持系统130和控制器140。
在某些实施例中,注射器114可设置而接近基板支撑件124的第一侧121,以在基板125设置于基板支撑件124上的时候,提供一种或多种工艺气体越过基板125的处理表面123。
在某些实施例中,加热的排放岐管118可设置而接近基板支撑件124的第二侧119,并与注射器114相对,以自工艺腔室100排放一种或多种工艺气体。加热的排放岐管118可包括开口,开口的宽度约等于或大于基板125的直径。在某些实施例中,加热的排放岐管118可包括粘附性降低衬里(adhesionreducing liner)117。粘附性降低衬里可包含任何材料,例如,石英、镍浸渍的氟聚合物、二氧化镍、前述材料的组合或类似物。
腔室主体110大体包括上部102、下部104和外壳120。上部102设置于下部104上,且上部102包括腔室盖106和上腔室衬里116。在某些实施例中,一个或多个密封件(如,图示的两个O型环131、133)可设置于腔室盖106与上腔室衬里116之间,以有助于这些部件之间的真空密封。在某些实施例中,可提供上方高温计151,以于处理期间提供关于基板的处理表面的温度数据。
在某些实施例中,如图所描绘,上腔室衬里116可设置于注射器114和加热的排放岐管118上方,并设置于腔室盖106下方。在某些实施例中,上腔室衬里116可包含诸如石英或类似物的材料,例如,以至少部分反射从基板125和/或从设置于基板支撑件124下方的灯所辐射的能量。在某些实施例中,上腔室衬里116、腔室盖106和下腔室衬里136(于下文讨论)可为石英,从而有利地提供石英封套围绕基板125。
腔室盖106可具有任何适合的表面形貌,如平坦状(如图所示)或具有类圆顶形状(未绘示)或其它形状,例如,也可考虑反向曲线盖。腔室盖106可包含诸如不锈钢、铝、石英或类似物的任何合适的材料。因此,在某些实施例中,腔室盖106可至少部分反射自基板125和/或自设置于基板支撑件124下方的灯所辐射的能量。在某些实施例中,腔室盖106可为经液体冷却的盖,以有助于气体分散设备103的温度控制。
在某些实施例中,一个或多个热电耦(图中显示三个热电耦135)可设置于通孔137内,通孔137形成于腔室盖106中,且热电耦被定位为接近气体分散设备103,以有助于监测并控制气体分散设备103的温度。可用适于使气体分散设备103的温度在分开的位置受到监测的任何方式来定位热电耦135的位置。举例而言,在存在有三个热电耦的实施例中(如图1中),所述三个热电耦可分别设置在自气体分散设备103的中心起算约40mm、约90mm和约140mm处。热电耦的位置可依气体分散设备100的特定表面形貌而定。举例而言,热电耦可对应温度区域来安置,或热电耦可根据系统的表面形貌来安置(如,接近支撑基座的边缘、接近基板的边缘、约基板半径的一半、接近基板中心等)。
在某些实施例中,热电耦135可设置于壳体155内,以容许热电耦135被定位接近气体分散设备103而不会将热电耦135暴露于内空间101中的环境。在某些实施例中,壳体155可包括底部147和顶部141,底部147构造为安装在腔室盖106的通孔内,且顶部141耦接底部147并构造为将热电耦135保持在静止位置。在某些实施例中,可将密封件(如,O型环161)设置在顶部141与腔室盖106之间,以提供真空密封。
下部104大体包括基底板组件119、下腔室衬里136、下圆顶132、基板支撑件124、预热环122、基板举升组件160、基板支撑件组件164、加热系统151和下方高温计158。加热系统151可设置于基板支撑件124下方,以提供热能至基板支撑件124。加热系统151可包括一个或多个上方灯152和一个或多个下方灯154。尽管用术语“环(ring)”来描述工艺腔室的某些部件(如预热环122),但可考虑这些部件的外型并不一定是圆形,且可包括任何外型,包括但不限于,矩形、多边形、椭圆形和类似形状。下腔室衬里136可设置于注射器114和加热的排放岐管118下方,且例如,设置于基底板组件119上方。注射器114和加热的排放岐管118大体设置于上部102与下部104之间,且可耦接至上部102和下部104之一或二者。
基板支撑件124可为任何适合的基板支撑件,如板或环(如图中的虚线所绘示)以将基板125支撑于基板支撑件上。基板支撑件组件164大体包括支撑托架134,支撑托架134具有耦接至基板支撑件124的多个支撑销166。基板举升组件160包括基板举升杆126和多个举升销模块161,举升销模块161选择性地安置在基板举升杆126的各垫127上。在一个实施例中,举升销模块161包括视情况任选的上部129。举升销128以可移动方式设置穿过基板支撑件124中的第一开口。在操作上,可移动基板举升杆126而接合举升销128。当基板举升杆与举升销接合时,举升销128可升高基板125于基板支撑件124上方,或降低基板125至基板支撑件124上。
基板支撑件124可进一步包括举升机构172和旋转机构174,举升机构和旋转机构耦接至基板支撑件组件164。可利用举升机构172以在垂直于基板125的处理表面123的方向上移动基板支撑件124。举例而言,举升机构172可用来相对于喷淋头170和注射器114定位基板支撑件124。旋转机构174可用来绕着中心轴旋转基板支撑件124。在操作上,举升机构可协助相对于由注射器114和/或气体分散设备103所产生的流场(flow field),动态控制基板125的定位。基板125定位的动态控制可结合由旋转机构174所进行的基板125的持续旋转,以用来最佳化基板125的处理表面123对流场的暴露,以最佳化处理表面123上的沉积均匀性和/或成分,并最小化处理表面123上的残留物形成。
在处理期间,基板125设置于基板支撑件124上。灯152和154为红外线(IR)辐射(即,热)源,且在操作上,灯152和154在整个基板125上产生预定的温度分布。如上文所述,腔室盖106、上腔室衬里116和下圆顶132可由石英形成;然而,也可使用其它IR可通透且工艺兼容的材料来形成这些部件。灯152、154可为多区灯加热设备的部分,以为基板支撑件124的背侧提供热均匀性。举例而言,加热系统151可包括多个加热区,其中各加热区包括多个灯。举例而言,一个或多个灯152可为第一加热区,且一个或多个灯154可为第二加热区。灯152、154可提供约200摄氏度至约900摄氏度的宽广热范围。灯152、154可提供每秒约5摄氏度至约20摄氏度的快速反应控制。举例而言,灯152、154的热范围和快速反应控制可于基板125上提供沉积均匀性。进而,下圆顶132可受到温度控制(例如,通过主动冷却、窗口设计等),以进一步协助控制基板支撑件124的背侧上,和/或基板125的处理表面123上的热均匀性。
内空间101可容纳任何适当尺寸的基板,例如,200mm、300mm或类似尺寸。内空间101可具有可变的空间,例如,当举升机构172升高基板支撑件124靠近腔室盖106时,内空间101的尺寸可缩小,且当举升机构172降低基板支撑件124远离腔室盖106时,内空间101的尺寸可扩大。在某些实施例中,可由一个或多个主动或被动冷却部件来冷却内空间101。举例而言,可由工艺腔室100的壁(可例如为不锈钢或类似物)来被动地冷却内空间101。举例而言,无论单独进行或与被动冷却结合,可例如,通过使冷却剂绕着工艺腔室100流动,来主动地冷却内空间101。举例而言,冷却剂可为液体(如,水)或气体。
支持系统130可包括用来执行并监测工艺腔室100中的预定工艺(如,生长外延膜)的若干部件。这样的部件大体包括工艺腔室100的多个子系统(如,(多个)气体面板、气体分配导管、真空和排放子系统和类似系统)和装置(如,电源供应器、工艺控制设备和类似装置)。
在某些实施例中,控制器140可直接耦接至工艺腔室100和支持系统130,又或者经由与工艺腔室和/或支持系统相关联的计算机(或控制器)耦接至工艺腔室100和支持系统130。控制器140可为任何形式的通用计算机处理器之一,通用计算机处理器可用在工业设定(industrial setting)中以控制各种腔室与子处理器。CPU142的存储器144(或计算机可读取介质)可为一种或多种易于使用的存储器,如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘,或本地或远程的任何其它形式的数字存储装置。支持电路146耦接至CPU142,以用传统的方式支持处理器。这些电路包括高速缓存(cache)、电源供应器、时钟电路、输入/输出电路和子系统和类似电路。
可用任何适当的方式将气体分散设备103定位于工艺腔室100内,以于基板125的表面123提供充分的气体分布。举例而言,如图1所示,在某些实施例中,可将气体分散设备103设置在基板支撑件124上方(如,与基板支撑件124相对)。气体分散设备103可具有适于在基板125的表面123提供充分的气体分布的任何尺寸。举例而言,在某些实施例中,气体分散设备103可具有约12至约14英寸(300至350mm)的直径。在某些实施例中,气体分散设备103可具有大于基板达约50%的直径(如,就300mm的基板而言约18英寸或450mm的气体分散设备103直径)。当然,在具有其它尺寸的工艺腔室或构造为来处理较小或较大基板的工艺腔室中,可使用其它尺寸。
在某些实施例中,气体分散设备103可定位于工艺腔室100内,使得气体分散设备103的气体供应件(gas feed)179设置于通孔181内,通孔181形成于腔室盖106中。在这样的实施例中,气体分配块体(gas distribution block)173可耦接气体供应件179,以有助于自气体供应器171提供一种或多种工艺气体至气体供应件179。气体分配块体173可包含对工艺具有惰性的任何工艺适性材料。举例而言,在某些实施例中,气体分配块体173可为金属,如不锈钢、铝或类似物。在某些实施例中,取决于工艺中所用的特定化学物质,气体分配块体173可为经涂覆材料或陶瓷。在某些实施例中,气体分配块体173可为石英。在某些实施例中,可在气体供应件179、气体分配块体173与腔室盖106中的一或多者之间设置一个或多个密封件(图中显示两个O型环175),以提供真空密封。在某些实施例中,气体分散设备103可由工艺腔室100的内衬里153的一部分所支撑。在这样的实施例中,气体分配设备103可由腔室盖106和内衬里153保持。
在某些实施例中,气体分配设备103可包括一种或多种机制,以有助于对气体分配设备103的温度的控制。举例而言,在某些实施例中,可在气体分配设备103的一个或多个表面上,或在工艺腔室100的内表面上设置反射性涂层。举例而言,在某些实施例中,反射性涂层可设置在气体分配设备103(图中显示为虚线107)的面向基板表面143(如,参照图2于下文描述的底板214)上。在这样的实施例中,反射性涂层可设置在内衬里153的一个或多个相邻表面上。在某些实施例中,反射性涂层可设置在气体分配设备103(显示为虚线177)的顶表面145上。在某些实施例中,反射性涂层可设置在腔室盖106(显示为虚线183)的内表面147上。反射性涂层可包含任何材料,所述材料适于提供对气体分配设备103的温度的控制。举例而言,在某些实施例中,反射性涂层可为石英(SiO2)反射性涂层。在某些实施例中,石英(SiO2)反射性涂层可以是不透明的。在某些实施例中,例如当不透明的石英(SiO2)反射性涂层被设置在气体分配设备103的顶表面145上,透明的石英(SiO2)反射性涂层可被设置在面向基板表面143上。
交替或组合,在某些实施例中,气体供应器167可提供一种或多种气体至气体入口169,以将气流提供至气体分配设备103附近,以有助于对气体分配设备103的温度的控制。在某些实施例中,气体入口169可设置在通孔163内,通孔163形成于腔室盖106中。气体可为任何类型的气体,所述气体适于提供对气体分配设备103的温度的控制,且可根据气体分配设备103的期望温度改变而选择或改变所述气体。举例而言,在期望温度升高的实施例中,可利用如氮(N2)等具有高热传递特性的气体。或者,在期望温度降低的实施例中,可利用如氦(He)或氢(H2)等具有不佳热传递特性的气体。
请参见图2,在某些实施例中,气体分配设备103大体可包括主体200及多个板(图中显示两个板206、210)设置于主体200内,且构造为自气体供应件179提供多种气体至形成于底板214中的多个气体分散孔216。如本文所使用,诸如顶(部)或底(部)等相对用语仅用于描述目的,且非用于限制本发明。举例而言,可提供气体分散设备,该气体分散设备可相对于工艺腔室或设置于工艺腔室中的待处理基板呈一角度、位于旁侧(sideway)或颠倒设置。例如,更一般而言,主体具有处理侧(如,底板214)和第二侧,其中所述多个气体分散孔排列于处理侧,且第二侧与处理侧相对。底板214可具有任何数目的气体分散孔216,气体分散孔216可具有任何尺寸,所述尺寸适于对工艺腔室(如,上文所描述的工艺腔室100)提供期望的工艺气体分布,例如,参照图8描述于下文。
在某些实施例中,气体分配设备103可由石英(SiO2)制成。本案发明人注意到可通过由石英(SiO2)制造气体分配设备103,降低或消除气体分配设备103内的金属污染和/或颗粒形成。举例而言,在某些实施例中,气体分配设备103可包含每平方厘米少于约1e109个原子的金属含量,或在某些实施例中,每平方厘米少于约1e1010个原子的金属含量。通过降低或消除金属污染和/或颗粒形成,可原位清洁气体分散设备,因而减少了维护所需的停机时间,且增加了工艺腔室100的效能。
此外,通过由石英(SiO2)制造气体分配设备103,本案发明人注意到,因石英(SiO2)相较于传统的气体分配设备的材料如金属(如,不锈钢、铝或类似物)具有低的热传递特性的缘故,气体分配设备对工艺腔室内的温度改变可具有改良的温度反应时间,从而增进气体分配设备的温度控制和动态操作范围。并且,石英(SiO2)相对较低的热传递特性可减少工艺气体化合物分解或离解的情况。
在某些实施例中,主体200可包括顶部202和环203,环203耦接顶部202并自顶部202向外延伸。底板214可相对于顶部202耦接至环203。在某些实施例中,所述多个板(板206、210)设置于主体200内并被定位,使得多个气室(图中显示三个气室204、208、212)形成于主体200内。在某些实施例中,可提供三个气室,或至少三个气室。举例而言,如图2所示,第一气室(气室204)形成于顶部202与第一板(板206)之间,第二气室(气室208)形成于第一板(板206)与第二板(板210)之间,且第三气室212形成于第二板(板210)与底板214之间。
在某些实施例中,多个管(图中所示的第一组管215耦接气室204、第二组管217耦接气室208,且第三组管218耦接气室212)可设置于主体200内,并构造为将所述多个气室的每个气室耦接至所述多个气体分散孔216的对应气体分散孔组。在这样的实施例中,所述多个板(板206、210)中的各板可包括多个通孔238、240、242,通孔238、240、242构造为容许所述多个管的每个管耦接气体分散孔216。可用适于将所述多个气室耦接至所述多个气体分散孔216的任何方式来构造所述多个管。举例而言,在某些实施例中,所述多个管的每个管可包括第一端244、246、248和第二端250、252、254,所述第一端244、246、248耦接所述多个气室中的一个气室,所述第二端250、252、254耦接气体分散孔216中的一个气体分散孔。在某些实施例中,管(如,管215)的第一端244可包括开口端242。交替或组合,在某些实施例中,管(如,管216、218)的第一端246、248可包括设置于管的侧面的孔220、222。
在某些实施例中,多个导管(图中显示三个导管224、226、230)设置于气体供应件179内并穿过主体200的顶部202,且各导管耦接气室204、208、212中的一个气室。所述多个导管224、226、230的每个导管耦接气体供应器(如,上文所述的气体供应器171),且构造为独立于其它导管分别提供工艺气体。举例而言,在进行诸如金属有机化学气相沉积(metalorganic chemical vapordeposition;MOCVD)等外延工艺以形成III-V族半导体层的实施例中,第一导管(如,导管224)可提供包含III族化合物的第一工艺气体,第二导管(如,导管226)可提供包含V族化合物的第二工艺气体,且第三导管(如,导管230)可提供包含净化气体或掺质气体的第三工艺气体。通过经由个别导管提供各工艺气体至个别气室,可减少气体到达工艺腔室(如,上文所述的工艺腔室100的处理空间105)的处理空间之前的气相反应,从而增加工艺的效能。此外,通过经由个别导管提供各工艺气体至个别气室,各工艺气体可来自不同的来源。举例而言,在上述的例子中,可自固态、液态或气态前驱物独立地提供各第一工艺气体、第二工艺气体和第三工艺气体。并且,通过经由个别导管提供各工艺气体至个别气室,可缩小主体202内的内空间,从而容许有效率地切换气体,因而能在工艺腔室内进行工艺的同时完成动态改变,例如在外延沉积层中生长突变异质结(abrupt heterojunction)。
在某些实施例中,额外导管228可设置于气体供应件179内并延伸进入主体200接近底板214。在某些实施例中,温度测量装置,例如光纤探针(fiber opticprobe),如石英光纤探针、热电耦探针等,以有助于监测气体分散设备103的温度。
在某些实施例中,密封件(如,O型环232、234、236、238)可设置为接近所述多个导管224、226、230和该额外导管228的顶部,以在连接至其它部件时提供气密式密封。在某些实施例中,所述多个导管224、226、230和该额外导管228为安装于气体供应件179内的管。或者,在某些实施例中,可由固态材料块(例如,不锈钢)制造气体供应件179,且所述多个导管224、226、230和该额外导管228为形成于气体供应件179中的通道,例如参照图5至图7于下文描述者。
请参见图3,在某些实施例中,气体分配设备可包括冷却剂通道310,冷却剂通道310设置在主体200的边缘312附近,且构造为容许冷却剂气体流围绕主体200的边缘312,以有助于气体分配设备103的温度控制。依据应用,冷却剂气体可为任何合适的气体,例如,氦(He)、氢(H2)、氩(Ar)、氮(N2)或类似物。
在某些实施例中,气体供应器(如,上文所述的气体供应器171)可经由气体供应器导管302、气体环304和供应通道308将冷却剂气体提供至冷却剂通道310。返回通道314可耦接气体环304和气体返回导管303,从而建立自气体供应器导管302经过冷却剂通道310至返回导管303的流动路径。可在气体供应器和返回导管302、303与其它部件的任何连接处附近提供O型环306、307或其它合适的密封件。
可以任何方式定位冷却剂通道310,且冷却剂通道310可具有任何尺寸,所述尺寸足以提供对气体分配设备103的期望温度控制。举例而言,如图3所描绘,在某些实施例中,冷却剂通道310可设置于底板214上方和相邻的板(板210)下方,并具有类似于相邻气室(如,气室212)的尺寸。或者,如图4所描绘,在某些实施例中,冷却剂通道402可定位为与板(板206、210)相邻,并自主体200的顶部202延伸至底板214。在这样的实施例中,具有多个通孔(图中显示两个通孔406、408)的环404可构造为与供应通道308界面相接,且返回通道314可设置于主体200内以限定冷却剂通道402。环404可为任何尺寸,所述尺寸适于提供具有期望尺寸的冷却剂通道402。举例而言,在某些实施例中,环404可具有约0.25英寸至约1英寸,或约0.5英寸的壁厚度(外径减内径)。尽管可依据气体分配设备103的构造使用其它尺寸,在某些实施例中,环404可具有约13.78英寸的外径。
请参见图5,在某些实施例中,可由固态材料件制造气体供应件179,该固态材料件具有多个通道形成于其中,以形成多个导管224、226、230和额外导管228。在这样的实施例中,气体供应件179可设置于通孔502内,通孔502形成于主体200的顶部202和板206、210中,其中气体供应件179的底部设置于接近底板214处,例如图中所示。气体供应件179可由任何适当的材料所制造,例如金属,如不锈钢、铝或类似物。
气体供应件179可具有适于容纳多个导管224、226、230和额外导管228的任何尺寸。举例而言,尽管可依据气体分配设备103的构造使用其它尺寸,在某些实施例中,气体供应件可具有约0.75至约2英寸的外径,或在某些实施例中,约1英寸的外径。尽管可依据气体分配设备103的构造使用其它尺寸,在某些实施例中,气体供应件179可具有约3.00英寸的整体长度。
请参见图6,在气体供应件179由如上所述之固态材料块制成的实施例中,气体分配设备可包括冷却剂通道310,冷却剂通道310设置为接近主体200的边缘312,且构造为容许冷却剂气体流围绕主体200的边缘312,以有助于气体分配设备103的温度控制,如参照图3于上文所述。如图6所描绘,在某些实施例中,冷却剂通道310可设置于底板214上方和相邻的板(板210)下方,并具有类似于相邻气室(如,气室212)的尺寸。或者,如图7所描绘,在某些实施例中,冷却剂通道402可定位为与板(板206、210)相邻,并自主体200的顶部202延伸至底板214。
请参见图8,气体分配设备103可包括适于提供期望的工艺气体分布的任何数目的气体分散孔802。在某些实施例中,气体分配设备103可就每一种利用的工艺气体包括约100至约500个气体分配孔。举例而言,在利用三种工艺气体(如,上文所述包含III族化合物的第一工艺气体、包含V族化合物的第二工艺气体,和包含净化气体或掺质气体的第三工艺气体)的实施例中,气体分散设备103可包括总数约300至约1500个气体分散孔802,或在某些实施例中,约880个气体分散孔802,气体分散孔802可再分为,例如,第一气体分散孔804、第二气体分散孔806和第三气体分散孔808。气体分散孔804、806、808的数目和图样仅为示意。可根据由各气室或整体气室提供的气体的期望气体分布梯度,来分隔气体分散孔802(和气体分散孔804、806、808的图样)。举例而言,在某些实施例中,提供相同工艺气体的气体分散孔802可具有约8至约16mm的间距,或在某些实施例中,约10mm的间距。此外,可由管形成气体分散孔802,所述管具有合适的壁厚度,例如,约0.5至约1.5mm,或在某些实施例中,约1mm。
气体分散孔802可具有任何尺寸,所述尺寸适于提供由气体分散设备103所分散的工艺气体的期望速度。举例而言,在某些实施例中,各气体分散孔802可具有约1至约6mm的直径,或在某些实施例中,约1至约4mm。本案发明人注意到,通过提供相较于传统的气体分散设备中所见的分散孔尺寸而言具有较大直径的气体分散孔802,可降低由气体分散设备103所分散的工艺气体的速度,从而减少接近气体分散孔802处的涡流形成,因而减少或消除颗粒形成于气体分散孔802上或周围的情况。举例而言,由气体分散设备103所分散的工艺气体的速度可为约1至约30cm/sec。此外,通过提供具有较大直径的气体分散孔802,使气体分散孔802的加工公差(machining tolerance)的关键性减低,从而提供更快更有效率的气体分配设备103制造工艺。
尽管上文涉及本发明的实施例,但可在不背离本发明的基本范围下设计本发明的其它及进一步的实施例。

Claims (15)

1.一种气体分散设备,用以提供气体至工艺腔室,所述气体分散设备包括:
石英主体,所述石英主体具有顶部、环和底板,所述环耦接所述顶部的底表面,所述底板具有多个气体分散孔并相对于所述顶部耦接所述环;
多个石英板,所述多个石英板设置于所述顶部与所述底板之间,其中所述多个石英板被定位在彼此上方并间隔开来,以在所述多个石英板的每个石英板和所述石英底板上方形成多个气室;
多个石英管,所述多个石英管用以将所述气室耦接至所述多个分散孔,所述多个石英管的每个石英管具有第一端,所述第一端流通地耦接所述气室中的一个气室,且所述多个石英管的每个石英管具有第二端,所述第二端耦接所述多个分散孔中的一个分散孔;和
多个导管,所述多个导管设置穿过所述石英主体的所述顶部,其中所述多个导管的每个导管耦接所述气室中的一个对应的气室,以将工艺气体提供至所述气室。
2.如权利要求1所述的气体分散设备,其中所述多个气体分散孔具有约1至约6毫米的直径。
3.如权利要求1所述的气体分散设备,其中所述多个气体分散孔在每气室包括约100至约500个气体分散孔。
4.如权利要求1至3中任一项所述的气体分散设备,进一步包括反射性涂层,所述反射性涂层设置于所述石英主体的底表面或所述石英主体的顶表面中的至少一者上。
5.如权利要求4所述的气体分散设备,其中所述反射性涂层包含透明或不透明的石英(SiO2)层。
6.如权利要求1至3中任一项所述的气体分散设备,其中所述气体分散设备包括气体供应件,所述气体供应件容纳所述多个导管并具有一部分自所述石英主体延伸,使得所述部分能被设置在通孔内,所述通孔形成于所述工艺腔室的壁或天花板中。
7.如权利要求6所述的气体分散设备,其中所述气体供应件是以下之一:
空心管,所述空心管耦接所述石英主体的所述顶部,且其中所述多个导管是设置在所述气体供应件内的多个管;或
固态块体,所述固态块体设置于所述石英主体内,且其中所述多个导管是形成于所述固态块体内的多个通道。
8.如权利要求6所述的气体分散设备,其中所述气体供应件是固态块体,所述固态块体设置于所述石英主体内,其中所述多个导管是形成于所述固态块体内的多个通道,且其中所述固态块体由不锈钢或铝制成。
9.如权利要求1至3中任一项所述的气体分散设备,进一步包括:
通道,所述通道设置于所述石英主体内并接近所述石英主体的外侧边缘,所述通道构造为在所述石英主体的所述外侧边缘周围提供冷却剂气体流,以控制所述气体分散设备的温度。
10.如权利要求9所述的气体分散设备,其中所述通道是设置于所述多个板的底板与所述石英主体的所述底板之间,或其中所述通道是设置于所述石英主体的所述顶部与所述底板之间,并围绕所述多个板的外侧边缘。
11.一种工艺腔室,用以处理基板,所述工艺腔室包括:
腔室主体,具有设置于所述腔室主体内的如权利要求1至3中任一项所述的气体分散设备。
12.如权利要求11所述的工艺腔室,进一步包括反射性涂层,所述反射性涂层设置于所述腔室主体的表面上,其中所述腔室主体的所述表面设置为接近所述石英主体。
13.如权利要求11所述的工艺腔室,进一步包括:
衬里,所述衬里设置于所述腔室主体内,其中所述石英主体置于所述衬里的一部分的顶上。
14.如权利要求11所述的工艺腔室,进一步包括:
气体入口,所述气体入口设置于所述腔室主体接近所述石英主体的壁或天花板中,以提供温度控制气体接近所述石英主体的外表面。
15.如权利要求14所述的工艺腔室,进一步包括:
一个或多个热电耦,所述一个或多个热电耦设置于所述腔室主体接近所述石英主体的壁或天花板中,以监测所述气体分散设备的温度。
CN201280051101.0A 2011-10-28 2012-10-09 气体分散设备 Expired - Fee Related CN103890911B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610847639.2A CN107058974A (zh) 2011-10-28 2012-10-09 气体分散设备

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/284,416 2011-10-28
US13/284,416 US8960235B2 (en) 2011-10-28 2011-10-28 Gas dispersion apparatus
PCT/US2012/059309 WO2013062748A1 (en) 2011-10-28 2012-10-09 Gas dispersion apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610847639.2A Division CN107058974A (zh) 2011-10-28 2012-10-09 气体分散设备

Publications (2)

Publication Number Publication Date
CN103890911A true CN103890911A (zh) 2014-06-25
CN103890911B CN103890911B (zh) 2016-11-02

Family

ID=48168311

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610847639.2A Pending CN107058974A (zh) 2011-10-28 2012-10-09 气体分散设备
CN201280051101.0A Expired - Fee Related CN103890911B (zh) 2011-10-28 2012-10-09 气体分散设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201610847639.2A Pending CN107058974A (zh) 2011-10-28 2012-10-09 气体分散设备

Country Status (6)

Country Link
US (2) US8960235B2 (zh)
KR (1) KR101645262B1 (zh)
CN (2) CN107058974A (zh)
DE (1) DE112012004475T5 (zh)
TW (1) TWI509675B (zh)
WO (1) WO2013062748A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106191814A (zh) * 2015-05-26 2016-12-07 朗姆研究公司 抗瞬变喷头
CN106796871A (zh) * 2014-09-08 2017-05-31 应用材料公司 蜂巢式多区域气体分配板
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US12000047B2 (en) 2023-02-02 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
KR101402236B1 (ko) * 2012-05-25 2014-06-02 국제엘렉트릭코리아 주식회사 노즐 유닛 및 그 노즐 유닛을 갖는 기판 처리 설비
WO2014103727A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 SiC膜成膜装置およびSiC膜の製造方法
US20150345046A1 (en) * 2012-12-27 2015-12-03 Showa Denko K.K. Film-forming device
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9322097B2 (en) 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN105981133B (zh) * 2014-02-14 2019-06-28 应用材料公司 具有注入组件的上部圆顶
US10221483B2 (en) * 2014-05-16 2019-03-05 Applied Materials, Inc. Showerhead design
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
GB201514334D0 (en) * 2015-08-12 2015-09-23 Johnson Matthey Davy Technologies Ltd Apparatus
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
KR102080760B1 (ko) * 2016-12-08 2020-02-24 주식회사 원익아이피에스 기판처리장치
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11944988B2 (en) 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
US11598004B2 (en) * 2019-03-11 2023-03-07 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5593540A (en) * 1992-10-19 1997-01-14 Hitachi, Ltd. Plasma etching system and plasma etching method
US20060263522A1 (en) * 2005-05-19 2006-11-23 Piezonics Co., Ltd. Apparatus for chemical vapor deposition (CVD) with showerhead and method thereof
US20080264566A1 (en) * 2005-07-21 2008-10-30 In-Gi Kim Apparatus and method for removing a photoresist structure from a substrate
CN101730921A (zh) * 2007-05-30 2010-06-09 应用材料股份有限公司 基板清洁腔室与其部件

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10119047B4 (de) * 2000-04-21 2010-12-09 Tokyo Electron Ltd. Thermische Bearbeitungsvorrichtung und thermisches Bearbeitungsverfahren
KR20030008295A (ko) 2001-07-19 2003-01-25 삼성전자 주식회사 애싱 장치의 가스 분사 플레이트
JP2003238178A (ja) 2002-02-21 2003-08-27 Toshiba Ceramics Co Ltd ガス導入用シャワープレート及びその製造方法
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
DE102008028233A1 (de) * 2008-06-16 2009-12-17 Heraeus Noblelight Gmbh Kompaktes UV-Bestrahlungsmodul
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
KR20100099488A (ko) * 2009-03-03 2010-09-13 주식회사 스펙 가스 인젝션 모듈을 적용한 샤워헤드 및 이의 제조방법
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5593540A (en) * 1992-10-19 1997-01-14 Hitachi, Ltd. Plasma etching system and plasma etching method
US20060263522A1 (en) * 2005-05-19 2006-11-23 Piezonics Co., Ltd. Apparatus for chemical vapor deposition (CVD) with showerhead and method thereof
US20080264566A1 (en) * 2005-07-21 2008-10-30 In-Gi Kim Apparatus and method for removing a photoresist structure from a substrate
CN101730921A (zh) * 2007-05-30 2010-06-09 应用材料股份有限公司 基板清洁腔室与其部件

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN106796871A (zh) * 2014-09-08 2017-05-31 应用材料公司 蜂巢式多区域气体分配板
CN107523806A (zh) * 2014-09-08 2017-12-29 应用材料公司 蜂巢式多区域气体分配板
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
CN106191814A (zh) * 2015-05-26 2016-12-07 朗姆研究公司 抗瞬变喷头
CN106191814B (zh) * 2015-05-26 2018-10-26 朗姆研究公司 抗瞬变喷头
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US12000047B2 (en) 2023-02-02 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Also Published As

Publication number Publication date
TWI509675B (zh) 2015-11-21
DE112012004475T5 (de) 2014-07-17
CN103890911B (zh) 2016-11-02
TW201338024A (zh) 2013-09-16
US20150122357A1 (en) 2015-05-07
CN107058974A (zh) 2017-08-18
US20130109159A1 (en) 2013-05-02
US9396909B2 (en) 2016-07-19
US8960235B2 (en) 2015-02-24
KR20140084308A (ko) 2014-07-04
KR101645262B1 (ko) 2016-08-04
WO2013062748A1 (en) 2013-05-02

Similar Documents

Publication Publication Date Title
CN103890911A (zh) 气体分散设备
KR101450174B1 (ko) 하강가능한 처리챔버 천정을 갖는 cvd 반응로
CN103597580B (zh) 用于将材料沉积在基板上的设备
EP1612854A1 (en) Loading table and heat treating apparatus having the loading table
US11104991B2 (en) Processing apparatus and cover member
US6007633A (en) Single-substrate-processing apparatus in semiconductor processing system
JP2009503875A (ja) ガスマニホルドバルブクラスタ
US20140027060A1 (en) Gas distribution apparatus for substrate processing systems
US20120234243A1 (en) Method and apparatus utilizing a single lift mechanism for processing and transfer of substrates
TWI721726B (zh) 用於高溫處理的腔室襯墊
JP2004533117A (ja) 基板サポートアセンブリと基板処理用装置
TWI649465B (zh) 用於雜質分層磊晶法的設備
JP2004356624A (ja) 載置台構造及び熱処理装置
JP4222086B2 (ja) 熱処理装置
TWI778370B (zh) 用於磊晶腔室的隔熱組件
TW201534758A (zh) 化學氣相沉積裝置
JP2012023073A (ja) 基板処理装置および基板の製造方法
US10718051B2 (en) Methods for chemical vapor deposition (CVD) in a movable liner assembly
JP3203666U (ja) 基板処理システム用ウインドウアセンブリ
US6538237B1 (en) Apparatus for holding a quartz furnace
WO2024045822A1 (zh) 一种温度控制部件及cvd反应装置
JP2010272720A (ja) 基板処理装置及び半導体装置の製造方法
KR20210077093A (ko) 태양전지용 기판 제조 장치
KR20240061128A (ko) 기판 처리 장치
TW202417677A (zh) 基板處理設備及方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20161102

Termination date: 20181009

CF01 Termination of patent right due to non-payment of annual fee