CN103597580B - 用于将材料沉积在基板上的设备 - Google Patents

用于将材料沉积在基板上的设备 Download PDF

Info

Publication number
CN103597580B
CN103597580B CN201280019802.6A CN201280019802A CN103597580B CN 103597580 B CN103597580 B CN 103597580B CN 201280019802 A CN201280019802 A CN 201280019802A CN 103597580 B CN103597580 B CN 103597580B
Authority
CN
China
Prior art keywords
place
process gases
substrate
eductor ports
ejector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280019802.6A
Other languages
English (en)
Other versions
CN103597580A (zh
Inventor
埃罗尔·安东尼奥·C·桑切斯
理查德·O·柯林斯
戴维·K·卡尔森
凯文·鲍蒂斯塔
赫尔曼·P·迪尼兹
凯拉什·帕塔雷
尼·O·谬
丹尼斯·L·德马斯
克里斯托夫·马卡德
史蒂夫·江珀
萨瑟施·库珀奥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201610312064.4A priority Critical patent/CN105925953B/zh
Publication of CN103597580A publication Critical patent/CN103597580A/zh
Application granted granted Critical
Publication of CN103597580B publication Critical patent/CN103597580B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供用于将材料沉积在基板上的方法及设备。在一些实施方式中,用于处理基板的设备可包括:处理腔室,该处理腔室具有安置于该处理腔室中的基板支撑件,以支撑基板的处理表面;喷射器,该喷射器被安置至基板支撑件的第一侧,且该喷射器具有第一流动路径以提供第一处理气体及具有第二流动路径以独立于第一处理气体而提供第二处理气体,其中喷射器被定位以提供第一处理气体及遍及基板的处理表面;喷淋头,该喷淋头安置于基板支撑件的上方以提供第一处理气体至基板的处理表面;以及排气口,该排气口安置于基板支撑件的第二侧且与喷射器相对以从处理腔室排出第一处理气体及第二处理气体。

Description

用于将材料沉积在基板上的设备
技术领域
本发明的实施方式大体而言是涉及用于将材料沉积在基板上的方法及设备。
背景技术
因为互补金属氧化物半导体(CMOS)器件的临界尺寸继续缩小,举例而言,需要将新颖材料并入CMOS架构中以改良能量效率和/或速度。一个此族材料是Ⅲ-Ⅴ族材料,该Ⅲ-Ⅴ族材料可用在诸如晶体管器件的沟道中。不幸地,当前处理设备及方法未能出产具有适当材料品质的Ⅲ-Ⅴ族膜,这些材料品质诸如低缺陷密度、成分控制、高纯度、形态、晶片中均匀性(in-waferuniformity)及批次(runtorun)重现性。
因此,发明者已提供用于将诸如例如Ⅲ-Ⅴ族材料之类的材料沉积在基板上的改良的方法及设备。
发明内容
本文提供用于将材料沉积在基板上的方法及设备。在一些实施方式中,本发明的方法及设备可有利地用于将Ⅲ-Ⅴ族材料沉积在基板上。在一些实施方式中,用于处理基板的设备可包括:处理腔室,该处理腔室具有温控反应容积,该温控反应容积包括包含石英的内表面,且该处理腔室具有基板支撑件,该基板支撑件安置于该温控反应容积内部以支撑基板的处理表面;加热系统,该加热系统安置于基板支撑件的下方以提供热能至基板支撑件;喷射器,该喷射器被安置至基板支撑件的第一侧,且该喷射器具有第一流动路径以提供第一处理气体及该喷射器具有第二流动路径以独立于第一处理气体提供第二处理气体,其中喷射器被定位以提供第一处理气体及第二处理气体遍及基板的处理表面;喷淋头,该喷淋头安置于基板支撑件的上方以提供第一处理气体至基板的处理表面;以及加热排气歧管,该加热排气歧管被安置至基板支撑件的第二侧,与喷射器相对以从处理腔室排出第一处理气体及第二处理气体。
在一些实施方式中,在基板上沉积层的方法可包括以下步骤:清洁处理容积内的表面;在引入基板至处理容积之前在处理容积内部建立温度;流动第一处理气体至处理容积中并遍及基板的处理表面;从处理表面的上方独立地流动第一处理气体至处理容积中且朝向处理表面;流动第二处理气体至处理容积中并遍及处理表面;以及在由第一处理气体及第二处理气体于处理表面上形成一个或更多个层期间调节基板的处理表面的温度。
本发明的其他及进一步实施方式描述如下。
附图简要说明
上文简要概述且于下文更详细论述的本发明的实施方式,可通过参照附图中图示的本发明的说明性实施方式来理解。然而,应注意,附图仅图示本发明的典型实施方式,因此不将附图视为本发明范围的限制,因为本发明可允许其他同等有效的实施方式。
图1A图示根据本发明的一些实施方式的处理腔室的示意性侧视图。
图1B图示根据本发明的一些实施方式的处理腔室及维护壳体的示意性俯视图。
图2图示根据本发明的一些实施方式的处理腔室的部分示意性俯视图,该图示出处理腔室的喷射器及排气口的配置。
图3A至图3C分别图示根据本发明的一些实施方式的喷射器的示意性正视图及侧视图。
图4A至图4B分别图示根据本发明的一些实施方式的喷射器的示意性正视图。
图5图示根据本发明的一些实施方式的喷淋头的示意性侧视图。
图6图示根据本发明的一些实施方式将层沉积在基板上的方法的流程图。
图7图示根据本发明的一些实施方式沉积于基板上的层。
为了促进理解,在可能情况下已使用相同的标记数字以标示为各图所共用的相同元件。这些图并非按比例绘制且这些图为清晰起见可能被简化。可以预期,一个实施方式的元件及特征可有利地并入其他实施方式中而无需进一步叙述。
具体描述
本文提供用于将材料沉积在基板上的方法及设备。在一些实施方式中,本发明的方法及设备可有利地用于将Ⅲ-Ⅴ族材料沉积在基板上。本发明的方法及设备的实施方式可有利地提供适用于例如CMOS应用的改良Ⅲ-Ⅴ族膜的沉积。在至少一些实施方式中,改良的设备可满足由主流半导体工业放在当前外延硅及硅锗反应器上的一些或所有期望。举例而言,在一些实施方式中,如与常规的市售反应器相比,改良的设备可促进在特定基板的内部具有更佳材料品质(例如更低缺陷密度、良好成分控制、更高纯度、良好形态及更高均匀性中的一个或更多个品质)的外延膜在诸如300mm的硅晶片上生长且按批次生长。在至少一些实施方式中,改良设备可提供可靠运行及延长的反应器(及工艺)稳定性,由于较不频繁的维护周期与介入而具有更少残留物蓄积。在至少一些实施方式中,改良设备可提供设备的安全及有效维护,从而导致设备的缩短的停工时间及高整体可用性。因此,如与常规的市售反应器相比,本文所述的改良设备及方法的使用可有利地提供在CMOS器件生产中的Ⅲ-Ⅴ族材料的改良沉积。
图1A图示根据本发明的一些实施方式的处理腔室100的示意性侧视图。在一些实施方式中,处理腔室100可自市售的处理腔室改动而得,诸如可购自California(加利福尼亚)州SantaClara(圣克拉拉)的AppliedMaterials,Inc.(应用材料公司)的反应器,或被调适成执行外延硅沉积工艺的任何适当半导体处理腔室。处理腔室100可被调适成执行外延沉积工艺,例如如与下文关于图6论述的方法,且处理腔室100说明性地包含腔室主体110、温控反应容积101、喷射器114、可选喷淋头170及加热排气歧管118。处理腔室100可进一步包括如将在下文更详细论述的支撑系统130及控制器140。
喷射器114可安置于在腔室主体110内部安置的基板支撑件124的第一侧121上以提供多个处理气体,诸如当基板安置于基板支撑件124内时遍及基板125的处理表面123的第一处理气体及第二处理气体。举例而言,可从气体控制板(gaspanel)108提供多个处理气体。喷射器114可具有提供第一处理气体的第一流动路径及独立于第一处理气体提供第二处理气体的第二流动路径。第一流动路径及第二流动路径的实施方式将于下文关于图3A至图3B及图4A至图4B论述。
加热排气歧管118可被安置至基板支撑件124的第二侧129,与喷射器114相对,以从处理腔室100排出第一处理气体及第二处理气体。加热排气歧管118可包括开口,该开口具有与基板125的直径大约相同或稍大的宽度。加热排气歧管可包括黏着力减少衬垫117。举例而言,黏着力减少衬垫117可包含石英、镍浸渍含氟聚合物(nickelimpregnatedfluoropolymer)或上述物质的类似物中的一种或更多种。
腔室主体110通常包括上部部分102、下部部分104及壳体120。上部部分102安置于下部部分104上且上部部分102包括腔室盖106及上腔室衬垫116。在一些实施方式中,可提供上高温计156以提供在处理期间关于基板的处理表面的温度的数据。诸如安置于腔室盖106的顶上的夹环和/或上腔室衬垫可静置于其上的底板(baseplate)的额外元件已自图1A中省略,但这些额外元件视情况可包含于处理腔室100中。腔室盖106可具有任何适当的几何形状,诸如平坦(如图所示)或具有类拱顶(dome)的形状(未图示),亦预期诸如反向曲线(reversecurve)盖的其他形状。在一些实施方式中,腔室盖106可包含诸如石英或石英的类似物之类的材料。因此,腔室盖106可至少部分地反射从基板125和/或从安置于基板支撑件124的下方的灯辐射的能量。在提供喷淋头170且该喷淋头170是安置于盖(未图示)的下方的单独部件的实施方式中,喷淋头170可包含诸如石英或石英的类似物之类的材料,例如,从而至少部分地反射如上论述的能量。如图所示,上腔室衬垫116可安置于喷射器114及加热排气歧管118的上方,且可安置于腔室盖106的下方。在一些实施方式中,上腔室衬垫116可包含诸如石英或石英的类似物之类的材料,例如,从而至少部分地反射如上论述的能量。在一些实施方式中,上腔室衬垫116、腔室盖106及下腔室衬垫131(将于下文论述)可为石英,进而有利地提供围绕基板125的石英封套。
下部部分104通常包含底板组件119、下腔室衬垫131、下拱顶132、基板支撑件124、预加热环122、基板升降(lift)组件160、基板支撑件组件164、加热系统151及下高温计158。加热系统151可安置于基板支撑件124的下方以提供热能至基板支撑件124。加热系统151可包含一个或更多个外灯152及一个或更多个内灯154。虽然术语“环”被用以描述处理腔室的某些部件,诸如预加热环122,但是预期这些部件的形状不必为圆形并且可包括(包括但不限于)矩形、多边形、椭圆形及上述形状的类似形状的任何形状。下腔室衬垫131可安置于喷射器114及加热排气歧管118的下方,且可安置于例如底板组件119的上方。喷射器114及加热排气歧管118通常安置于上部部分102与下部部分104之间,且喷射器114及加热排气歧管118可耦接至上部部分102及下部部分104的任一个或两个。
图2图示处理腔室100的部分示意性俯视图,该图示出喷射器114及加热排气歧管118的配置。如图所示,喷射器114及排气歧管118安置于基板支撑件124的相对侧。喷射器114可包括多个喷射器口202以提供处理气体至处理腔室100的内容积。多个喷射器口202可周期地沿着面对喷射器114边缘的基板以一图案安置,该图案适于提供大体上遍及基板125的处理表面123的第一处理气体及第二处理气体的流动。举例而言,多个喷射器口202可周期地沿着面对喷射器114边缘的基板自邻近基板125第一侧的喷射器114的第一侧至邻近基板125第二侧的喷射器114的相对第二侧安置。加热排气歧管118可包括开口,该开口具有与基板125的直径大约相同或稍大的宽度,以在维持大体上的层流(laminarflow)条件时促进从腔室移除过量处理气体及任何处理副产物。
在一些实施方式中,多个喷射器口202可被配置成独立于彼此提供第一处理气体及第二处理气体。举例而言,通过多个第一喷射器口可提供第一处理气体并且通过多个第二喷射器口可提供第二处理气体。可控制多个第一喷射器口的尺寸、数目及配置以提供遍及基板的处理表面的第一处理气体的所需流动。可独立地控制多个第二喷射器口的尺寸、数目及配置以提供遍及基板的处理表面的第二处理气体的所需流动。此外,相比于多个第二喷射器口,可控制多个第一喷射器口的相对尺寸、数目及配置以提供遍及基板的处理表面的相对于第二处理气体的第一处理气体所需的浓度或流动模式。
在一些实施方式中,如图3A中的横断面视图中所图示,喷射器114可包括喷射第一处理气体的多个第一喷射器口302(例如第一流动路径)及喷射第二处理气体的多个第二喷射器口304(例如第二流动路径)。如图3A中所图示,多个第一喷射器口302及第二喷射器口304可相对于彼此以非平面布置。在一些实施方式中,多个第一喷射器口302的各个第一喷射器口可安置于多个第二喷射器口304的各个第二喷射器口的上方(或反之亦然)。如图3B中所图示,多个第一喷射器口302的各个第一喷射器口可以诸如平行平面布置的任何所需布置安置于多个第二喷射器口304的各个第二喷射器口的上方。举例而言,平行平面布置可以是多个第一喷射器口302及多个第二喷射器口304安置于分离的平面中的布置,其中各平面平行于基板125的处理表面123。举例而言,如图3B中所图示,多个第一喷射器口302的各个第一喷射器口是沿着第一平面308以第一高度312安置于基板125的上方,并且多个第二喷射器口304的各个第二喷射器口是沿着第二平面310以第二高度314安置于基板125的上方,该第二高度314不同于第一高度312。在一些实施方式中,多个第一喷射器口302每一个各自可安置于多个第二喷射器口304的相应一个第二喷射器口的正上方(例如垂直对准多个第二喷射器口304的相应一个第二喷射器口)。在一些实施方式中,第一喷射器口302及第二喷射器口304的一个或更多个个别的口可非垂直对准,诸如由虚线的喷射器口306所图示(如图所示,可除了第二喷射器口304之外还提供这些喷射器口306或把这些喷射器口306作为第二喷射器口304的替代提供,和/或可除了第一喷射器口302之外还提供这些喷射器口306或把这些喷射器口306作为第一喷射器口302的替代提供)。
在一些实施方式中,例如,如图3C中所图示,当多个第一喷射器口302定位于基板支撑件124上时,该多个第一喷射器口302可安置于距基板125的边缘的第一距离316处;当多个第二喷射器口304定位于基板支撑件124上时,该多个第二喷射器口304可安置于距基板125的边缘的第二距离318处。举例而言,用语“当……定位于基板支撑件124上时”可以理解为在处理腔室100中处理的基板125期望采用的所需位置。举例而言,基板支撑件124可包括唇部(未图示)或其他用于将基板125置于所需处理位置的适当定位机构。因此,当基板125处于所需处理位置时,可测量距基板125的边缘的第一距离316及第二距离318。举例而言,如图3B中所图示,第一距离316和第二距离318可以是不同的。在一些实施方式中,相对于第二喷射器口304,多个第一喷射器口302可延伸超出(或进一步超出)基板125的边缘。举例而言,相对于喷射第二处理气体的多个第二喷射器口304,多个第一喷射器口302可比多个第二喷射器口304进一步延伸以进一步喷射第一处理气体至温控反应容积101中,因为第一处理气体在温度条件下可比第二处理气体更加易于分解。举例而言,为了在分解之前最大化第一处理气体的反应,多个第一喷射器可被定位以在第一处理气体暴露于温控反应容积101之前将第一处理气体尽可能远地喷射至温控反应容积101中。
第一喷射器口302及第二喷射器口304的数目、尺寸及配置可以以多种组合受到控制以提供各种益处。举例而言,在一些实施方式中,多个第一喷射器口302中的一些或全部喷射器口可具有与多个第二喷射器口304中的一些或全部喷射器口不同的直径。控制喷射器口的直径促进控制处理气体经由那个喷射器口进入处理腔室的速度。在给定上游压力下,较小直径的口将比较大直径的口以更高的速度提供处理气体。举例而言,在一些实施方式中,如图4A至图4B中所示,多个第二喷射器口304的各个第二喷射器口可具有比多个第一喷射器口302的各个第一喷射器口更大的直径。举例而言,各第二喷射器口304可具有更大直径以比第一处理气体以更低的速度喷射第二处理气体。
替代地或结合地,在一些实施方式中,如图4A中所示,较近于喷射器的中心安置的多个第一喷射器口302之一的第一直径404可不同于较近于该喷射器114的边缘安置的多个第一喷射器口的另一个第一喷射器口的第二直径402。同样地,在一些实施方式中,较近于喷射器114的中心安置的多个第二喷射器口304之一的第一直径408可不同于较近于喷射器114的边缘安置的多个第二喷射器口304的另一个第二喷射器口的第二直径406。举例而言,如图4A中所图示,第一喷射器口302或第二喷射器口304的直径可自喷射器114的边缘至中心逐渐地减小,例如以线性递减的减小方案或任何适当的减小方案、非线性方案或上述方案的类似方案。或者,第一喷射器口302或第二喷射器口304的直径可自喷射器114的边缘至中心更加粗糙地减小,举例而言,诸如逐步减小方案或该方案的类似方案。
替代地或结合地,在一些实施方式中,如图4B中所示,多个第一喷射器口302及多个第二喷射器口304的各个第一喷射器口与第二喷射器口可以共平面布置安置。举例而言,多个第一喷射器口302及多个第二喷射器口304的各个第一喷射器口与第二喷射器口可以大约相同高度安置于基板125的上方,或安置于与基板125的处理表面123平行的平面中。在一些实施方式中,如图4B中所示,当多个第一喷射器口302及多个第二喷射器口304的各个第一喷射器口与第二喷射器口以共平面布置安置时,多个第一喷射器口302及多个第二喷射器口304的个别的第一喷射器口与第二喷射器口可交替安置。或者,第一喷射器口302和/或第二喷射器口304中的两个或更多个喷射器口可被归在一起成为一小组第一喷射器口302和/或第二喷射器口304,其中该小组介于另一多个喷射器口的相邻喷射器口之间。
返回图1A,在一些实施方式中,喷淋头170可安置于基板支撑件124的上方(例如与基板支撑件124相对)以提供第三处理气体至基板125的处理表面123。第三处理气体可与由喷射器114提供的第一处理气体、第二处理气体相同,或者与由喷射器114提供的第一处理气体及第二处理气体不同。在一些实施方式中,第三处理气体与第一处理气体相同。第三处理气体亦可例如从气体控制板108提供。.
在一些实施方式中,举例而言如图1A中所图示,喷淋头170可包括用于提供第三处理气体至基板125的处理表面123的单出口171。在一些实施方式中,如图1A中所图示,单出口171可安置于一位置,该位置大体上与处理表面123的中心或基板支撑件124的中心对准。
在一些实施方式中,如图5中所图示,喷淋头170可包括多个出口502。在一些实施方式中,多个出口502可被归在一起成组(例如安置于具有不大于大约4英寸的直径的圆形内部)。多个出口可安置于一位置,该位置大体上与例如处理表面的中心这样的处理表面的所需区域对准,以(例如从气源504)输送第一处理气体至基板125的处理表面123。虽然图示喷淋头170具有三个出口502,但是喷淋头170可具有适合于提供第三处理气体的任何所需数目的出口。此外,虽然图示为对准处理表面的中心,但是单出口或多个出口可对准处理表面的任何所需区域以在处理期间提供处理气体至基板的所需区域。
喷淋头170可与腔室盖106整合(如图1A中所示),或者喷淋头170可为单独部件(如图5中所示)。举例而言,出口171可为钻入腔室盖106的孔,并且出口171可视情况包括经由钻入腔室盖106的孔安置的插入物(insert)。或者,喷淋头170可为安置于腔室盖106的下面的单独部件。在一些实施方式中,喷淋头170及腔室盖106皆可包含石英,例如,从而限制由喷淋头170或腔室盖106从灯152、灯154或从基板125吸收能量。
如上所述的喷射器114及视情况的喷淋头170的实施方式可被利用以促进具有最小残留物形成的最佳沉积均匀性及成分控制。举例而言,如上文所论述,诸如第一气体及第二气体之类的特定反应物可被引导穿过喷射器114的独立可控的喷射器口和/或喷淋头170的出口。相对于流入处理腔室100中的其他反应物,由喷射器114及视情况的喷淋头170的实施方式促进的喷射方案可允许将各反应物的流速和/或流量剖面(flowprofile)与该反应物的反应性匹配。举例而言,如下文论述,第一处理气体可以比第二处理气体更高的流速流动,因为第一处理气体可更具反应性且第一处理气体可比第二处理气体更快离解(dissociate)。因此,为将第一处理气体及第二处理气体的反应性匹配以限制残留物形成、最佳化均匀性和/或成分,第一处理气体可以比第二处理气体更高的速度流动。上述喷射方案仅是示例性的,且其他喷射方案亦是可能的。
返回图1A,基板支撑件124可为任何适当的基板支撑件,诸如板(在图1A中图示)或环(由图1A中的点划线图示)以支撑基板支撑件124上的基板125。基板支撑件组件164通常包括具有多个支撑销166的基板支架134,该多个支撑销166耦接至基板支撑件124。该基板升降组件160包含基板升降轴126及多个升降销模块161,该升降销模块161选择性地静置于基板升降轴126的各个垫127上。在一个实施方式中,升降销模块161包含升降销128的可选上部部分,此升降销128的上部部分经由第一开口162可活动地安置于基板支撑件124中。操作时,基板升降轴126被移动以啮合升降销128。当啮合时,升降销128可将基板125上举于基板支撑件124的上方或将基板125下降至基板支撑件124上。
基板支撑件124可进一步包括耦接至基板支撑件组件164的升降机构172及旋转机构174。升降机构172可被利用以在垂直于基板125的处理表面123的方向移动基板支撑件124。举例而言,升降机构172可用以相对于喷淋头170及喷射器114定位基板支撑件124。可利用旋转机构174围绕中心轴旋转基板支撑件124。操作时,升降机构可促进动态控制基板125相对于由喷射器114和/或喷淋头170建立的流场(flowfield)的位置。与由旋转机构174对基板125连续旋转相结合的基板125位置的动态控制可用以最佳地将基板125的处理表面123暴露于流场,从而最佳化处理表面123上的沉积均匀性和/或成分并且最小化残留物形成。
在处理期间,基板125安置于基板支撑件124上。灯152及灯154是红外线(IR)辐射(亦即热)的来源,在操作时,灯152及灯154产生遍及基板125的预定温度分布。腔室盖106、上腔室衬垫116及下拱顶132可由如上论述的石英形成;然而,其他红外线(IR)透明的及工艺相容(processcompatible)的材料亦可用以形成这些部件。灯152、灯154可为多区域灯加热设备的一部分,以对基板支撑件124背侧提供热均匀性。举例而言,加热系统151可包括多个加热区域,其中每个加热区域包括多个灯。举例而言,一个或更多个灯152可为第一加热区域且一个或更多个灯154可为第二加热区域。灯152、灯154可提供大约200至大约900摄氏度的宽热范围。灯152、灯154可提供每秒大约5至大约20摄氏度的快速响应控制。举例而言,灯152、灯154的热范围及快速响应控制可以在基板125上提供沉积均匀性。此外,下拱顶132可由例如主动冷却(activecooling)、视窗设计(windowdesign)或该设计的类似设计进行温度控制,以进一步帮助控制基板支撑件124的背面侧上和/或基板125的处理表面123上的热均匀性。
温控反应容积101可由包括腔室盖106的多个腔室部件形成。举例而言,这些腔室部件可包括腔室盖106、上腔室衬垫116、下腔室衬垫131及基板支撑件124之一或更多个。温控处理容积101可包括包含石英的内表面,诸如形成温控反应容积101的任何一个或更多个腔室部件的表面。温控反应容积101可为大约20至大约40公升。容积101可容纳任何合适尺寸的基板,例如,诸如200mm、300mm或类似尺寸的基板。举例而言,在一些实施方式中,若基板125是约300mm,则例如上腔室衬垫116及下腔室衬垫131的内表面远离基板125边缘的距离可达约50mm。举例而言,在一些实施方式中,诸如上腔室衬垫116及下腔室衬垫131的内表面远离基板125边缘的距离可达基板125直径的大约18%。举例而言,在一些实施方式中,基板125的处理表面123距腔室盖106的距离可达大约100毫米,或为大约0.8英寸至大约1英寸的范围。
温控反应容积101可具有变化的容积,例如当升降机构172将基板支撑件124上举靠近于腔室盖106时容积101的尺寸可缩小,并且当升降机构172将基板支撑件124远离腔室盖106下降时该容积101的尺寸可扩大。温控反应容积101可由一个或更多个主动冷却或被动冷却(passivecooling)部件冷却。举例而言,容积101可由处理腔室100的壁被动冷却,例如该壁可为不锈钢或不锈钢的类似物。举例而言,或独立于被动冷却或结合被动冷却,容积101可通过例如在腔室100周围流动冷却剂而被主动冷却。举例而言,冷却剂可为气体。
支撑系统130包括被用以执行及监控在处理腔室100中的预定工艺(例如生长外延硅膜)的部件。这些部件通常包括处理腔室100的各种子系统(例如气体控制板、气体分配导管、真空及排气子系统及类似物)及器件(例如电源、工艺控制仪表及类似物)。示例性支撑系统130可包括化学输送系统186,该化学输送系统186将于下文论述并于图1B中图示。
控制器140可直接(如图1A中所示)或者经由与处理腔室和/或支撑系统相关联的计算机(或控制器)耦接至处理腔室100及支撑系统130。控制器140可为可用于控制各种腔室及子处理器的工业设定中的任何形式的通用计算机处理器的一种。CPU142的存储器或计算机可读介质144可为一个或更多个便于得到的存储器,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其他形式的本地或远程的数字储存装置。支持电路146以常规方式耦接至CPU142,用于支持处理器。这些电路包括高速缓冲存储器、电源、时钟电路、输入/输出电路及子系统等等。
改良设备的实施方式可提供处理腔室100的安全及有效维护,从而导致处理腔室100的缩短的停工时间及高整体可用性。举例而言,如图1B中所图示,处理腔室100的壳体120可以是可由维护人员从维护壳体180进出的,该维护壳体180可相邻于壳体120安置。举例而言,处理腔室100可以被做成对于维护人员来说可经由门182进出,该门182可将壳体120与维护壳体180分开。替代地或结合地,处理腔室100可以被做成对于在维护壳体180内的维护人员来说可经由手套箱184实现对处理腔室100的存取,该手套箱184安置于壳体120与维护壳体180之间。举例而言,手套箱184可允许诸如在受控环境或类似环境下对处理腔室100和/或安置于壳体120内部的处理腔室100的部件的受控存取。在一些实施方式中,维护壳体180可进一步包括化学输送系统186,诸如气体柜或气体柜的类似物,该化学输送系统186是可从维护壳体180进出的和/或安置于维护壳体180内部。化学输送系统186可提供处理气体至处理腔室100以促进所需基板处理。如图1B中所示,壳体120及维护壳体180可例如被分开来排气至房屋排气系统(houseexhaustsystem)188。替代地或结合地,壳体120可经由可从维护壳体180进出的辅助排气装置190排气至房屋排气系统188或另一排气系统(未图示)。
图6是图示在基板125上沉积层700的方法600的流程图。方法600根据处理腔室100的实施方式描述如下。然而,方法600可用于能够提供方法600的要素的任何适当的处理腔室且不限于处理腔室100。
一个或更多个层700图示于图7中并且该一个或更多个层700可为可沉积于基板125上的任何适当的一个或更多个层。举例而言,一个或更多个层700可包含Ⅲ-Ⅴ族材料。一个或更多个层700可为器件的要素,例如,诸如晶体管器件的沟道或该晶体管器件的沟道的类似物。
方法600可视情况在引入基板125至温控反应容积101中之前,由清洁温控反应容积101(例如处理容积)的表面和/或在温控反应容积101内部建立温度而开始。举例而言,在各基板125上形成层之前和/或之后,可原位清洁腔室100以维持低颗粒水平和/或限制各基板125上的残留物蓄积。举例而言,原位清洁工艺可包括交替地将卤素气体与净化气体流经喷射器114和/或喷淋头170,以净化具有残留物或残留物的类似物的腔室。举例而言,清洁温控反应容积101的表面可包括用卤素气体蚀刻表面并且用惰性气体净化处理容积。举例而言,卤素气体可包括氯气(Cl2)、氯化氢(HCl)、三氟化氮(NF3)或上述气体的类似物中的一种或更多种。卤素气体可应用至温控反应容积101的任何适当部件,诸如基板支撑件124、上腔室衬垫116及下腔室衬垫131、腔室盖106或上述部件的类似物。
温控反应容积101内部的温度的建立可包括以下步骤:缓慢升高(ramp)温度至任何适当温度,该温度达到或接近于基板125的处理表面123上执行工艺的温度;在引入基板125至容积101中之前,将温度稳定在所需温度的所需容限程度范围内。
方法600由将第一处理气体流动遍及基板125的处理表面123而开始于步骤602。可由上文论述的关于喷射器114的多个第一进气口302的实施方式中的任一个实施方式将第一处理气体流动遍及处理表面123。在一些实施方式中,第一处理气体可比第二处理气体更易离解和/或更快反应。举例而言,可能需要最小化第一处理气体在温控反应容积101内相对于第二处理气体的滞留时间。举例而言,最小化第一处理气体的滞留时间可最小化第一处理气体相对于第二处理气体的耗尽(depletion)且最小化第一处理气体的滞留时间可改良在一个或更多个层700中的成分和/或厚度均匀性。因此,在一些实施方式中,可为第一进气口302设置较小直径以提供第一处理气体的较高速度,以便第一处理气体在离解或反应之前更快到达基板125或基板125的中心或更接近基板125的中心。如此,第一处理气体可比第二处理气体以更高流动速率(flowrate)流动。同样地,在其中第一喷射器口302的直径可如图3C中所图示从喷射器114的边缘至中心减少的一些实施方式中,第一处理气体流经处理表面中心的流动速率可高于流经处理表面边缘的流动速率。在一些实施方式中,第一处理气体可在第一载气中包括一个或更多个Ⅲ族元素。示例性第一处理气体包括三甲基镓、三甲基铟或三甲基铝的一个或更多个。掺杂剂及氯化氢(HCl)亦可添加至第一处理气体。
在步骤604处,可将第一处理气体视情况从处理表面123的上方独立地朝向处理表面123流动。举例而言,可使用如上论述的喷淋头170的任何适当的实施方式从喷淋头170流动第一处理气体。举例而言,由于第一处理气体的较高反应性的缘故,可从喷淋头170流动第一处理气体以确保适量的第一处理气体到达处理表面123的中心并且反应以形成层700。可从喷射器114及喷淋头170以任何适当的方案流动第一处理气体,例如,以诸如同时、交替或周期性流动或任何适当的流动方案流动第一处理气体,以提供处理表面123上的层700的充分覆盖。或者,可将诸如氮气(N2)之类的惰性气体或氢气(H2)从处理表面123的上方朝向处理表面123流动。
在步骤606处,可将第二处理气体流动遍及处理表面123。可由上文论述的关于喷射器114的多个第二进气口304的实施方式中的任一个实施方式将第二处理气体流动遍及处理表面123。举例而言,第二处理气体可比第一处理气体更慢离解和/或具有更低反应性。因此,如上论述的第二进气口304的较大直径可向第二处理气体提供较低速度,以便第二处理气体比第一处理气体更慢进入处理腔室100并且可在移动经过基板表面的较大部分移动时离解。如此,可以以比第一处理气体更低的流动速率流动第二处理气体。同样地,因为第二喷射器口304的直径可如图3C中所图示从喷射器114的边缘至中心减少,所以第二处理气体流经处理表面中心的流动速率可高于流经处理表面边缘的流动速率。在一些实施方式中,第二处理气体可在第二载气中包括一个或更多个Ⅴ族元素。示例性第二处理气体包括胂(AsH3)、磷化氢(PH3)、叔丁基胂(tertiarybutylarsine)、叔丁基磷(tertiarybutylphosphine)或上述物质的类似物的一个或更多个。掺杂剂及氯化氢(HCl)亦可添加至第二处理气体。
可以任何适当的方案从喷射器114及喷淋头170流动第一处理气体及第二处理气体,例如,以诸如同时、交替或周期性流动或任何适当的流动方案流动第一处理气体及第二处理气体,以提供处理表面123上的一个或更多个层700的充分覆盖。
在步骤608处,可调节基板125的处理表面123的温度以由第一处理气体及第二处理气体在基板125的处理表面123上形成一个或更多个层700。举例而言,温度的调节可包括加热及冷却温控处理容积101,诸如加热或冷却组成容积101的部件和/或内表面任何一个或更多个。举例而言,加热可包括提供能量至基板支撑件124的背侧表面,其中基板静置于基板支撑件124的前侧表面上。在流动第一处理气体及第二处理气体之前和/或期间可提供加热。加热可为连续的或间断的且加热可采用诸如周期性的或类似的任何所需方案。在流动第一处理气体及第二处理气体之前和/或期间,加热可提供任何所需温度分布(profile)至基板125以实现处理表面123上的层700的沉积。可由灯152、灯154提供加热。灯152、灯154可能能够从每秒大约5摄氏度至每秒大约20摄氏度升高基板温度。灯152、灯154可能能够提供从大约200摄氏度至大约900摄氏度的范围的温度给基板125。
可结合诸如上文论述的冷却机构及设备之类的其他部件利用灯152、灯154,从而从每秒大约5摄氏度至每秒大约20摄氏度调节处理表面123的温度。举例而言,一个或更多个层可包括如图7中图示的第一层702及第二层704,该第二层704沉积于第一层702的顶上。举例而言,可在第一温度下于处理表面123上沉积第一层702。举例而言,第一层702可为成核层(nucleationlayer)或类似层。可在第二温度下于第一层702的顶上沉积第二层704。举例而言,第二层704可为主体层(bulklayer)或类似层。在一些实施方式中,第二温度可高于第一温度。可重复第一层702、第二层704的沉积,例如,在第一温度下沉积第一层702,在比第一温度高的第二温度下沉积第二层704,且随后在第一温度下于第二层704的顶上沉积额外的第一层702等等,直到已达到所需层厚度为止。
方法600的额外和/或替代性实施方式是可能的。举例而言,当沉积诸如第一层702、第二层704之类的一个或更多个层时可旋转基板125。单独地或结合地,处理表面123的位置可相对于第一处理气体及第二处理气体的流动气流改变,以调整一个或更多个层的成分。举例而言,升降机构174可用以上举和/或下降处理表面123相对于喷射器114和/或喷淋头170的位置,同时第一处理气体和/或第二处理气体正在流动以控制一个或更多个层的成分。
因此,本文提供了用于Ⅲ-Ⅴ族材料的沉积的改良方法及设备。与经由常规的沉积设备沉积的Ⅲ-Ⅴ族膜相比,本发明的方法及设备的实施方式可有利地提供用于适合于CMOS应用的改良Ⅲ-Ⅴ族膜的沉积。
虽然上文是针对本发明的实施方式,但是在不脱离本发明的基本范围的情况下可设计本发明的其他及进一步实施方式。

Claims (14)

1.一种用于处理基板的设备,所述设备包含:
处理腔室,所述处理腔室具有温控反应容积,所述温控反应容积包括包含石英的内表面,且所述处理腔室具有基板支撑件,所述基板支撑件安置于所述温控反应容积内部以支撑基板的处理表面;
加热系统,所述加热系统安置于所述基板支撑件的下方以提供热能至所述基板支撑件;
喷射器,所述喷射器被安置至所述基板支撑件的第一侧,且所述喷射器具有第一流动路径以提供第一处理气体及所述喷射器具有第二流动路径以独立于所述第一处理气体而提供第二处理气体,其中所述喷射器被定位以提供所述第一处理气体及所述第二处理气体遍及所述基板的所述处理表面;
喷淋头,所述喷淋头安置于所述基板支撑件的上方以提供所述第一处理气体至所述基板的所述处理表面;以及
加热排气歧管,所述加热排气歧管被安置至所述基板支撑件的第二侧,与所述喷射器相对以从所述处理腔室排出所述第一处理气体及所述第二处理气体,
其中所述温控反应容积至少部分地由多个腔室元件形成,所述多个腔室元件包括:腔室盖,所述腔室盖安置于所述基板支撑件的上方;上腔室衬垫,所述上腔室衬垫被安置相邻于所述基板支撑件,且在所述喷射器及所述加热排气歧管的上方并在所述腔室盖的下方;以及下腔室衬垫,所述下腔室衬垫被安置相邻于所述基板支撑件且在所述喷射器及所述加热排气歧管的下方。
2.如权利要求1所述的设备,其中所述基板支撑件进一步包含:
旋转机构,所述旋转机构旋转所述基板支撑件;以及
升降机构,所述升降机构相对于所述喷淋头及所述喷射器定位所述基板支撑件。
3.如权利要求1所述的设备,其中所述加热系统进一步包含:
多个加热区域,其中所述多个加热区域中的每一个加热区域包括多个灯。
4.如权利要求1至权利要求3中的任一项所述的设备,其中所述喷淋头或安置于所述腔室盖中或安置于所述腔室盖的下方。
5.如权利要求1至权利要求3中的任一项所述的设备,其中所述喷淋头、所述上腔室衬垫、所述下腔室衬垫、所述腔室盖及所述喷射器包含石英。
6.如权利要求1至权利要求3中的任一项所述的设备,其中所述喷射器进一步包含:
多个第一喷射器口,所述多个第一喷射器口用以喷射所述第一处理气体;以及
多个第二喷射器口,所述多个第二喷射器口用以喷射所述第二处理气体。
7.如权利要求6所述的设备,其中所述多个第二喷射器口的各个第二喷射器口具有大于所述多个第一喷射器口的各个第一喷射器口的直径。
8.如权利要求6所述的设备,其中所述多个第一喷射器口及所述多个第二喷射器口安置于分离的平面中,其中各平面并行于所述基板的所述处理表面。
9.如权利要求6所述的设备,其中当所述多个第一喷射器口定位于所述基板支撑件上时,所述多个第一喷射器口安置于离基板的边缘第一距离处,且当所述多个第二喷射器口定位于所述基板支撑件上时,所述多个第二喷射器口安置于离所述基板的所述边缘第二距离处,其中所述第一距离不同于所述第二距离。
10.如权利要求6所述的设备,其中所述多个第一喷射器口中的一个第一喷射器口具有与所述多个第一喷射器口中的另一个第一喷射器口不同的直径,且其中所述多个第二喷射器口中的一个第二喷射器口具有与所述多个第二喷射器口中的另一个第二喷射器口不同的直径。
11.如权利要求1至权利要求3中的任一项所述的设备,其中所述喷淋头进一步包含:
单出口,其中所述单出口安置于一位置中,所述位置与所述处理表面的中心对准;或者
多个出口,其中所述多个出口安置于一位置中,所述位置与所述处理表面的所需区域对准。
12.一种在处理容积内基板上沉积层的方法,所述方法包含以下步骤:
清洁处理容积内的表面;
在引入基板至所述处理容积中之前在所述处理容积内部建立温度;
流动第一处理气体至所述处理容积中并遍及所述基板的处理表面;
自所述处理表面的上方独立地流动所述第一处理气体至所述处理容积中并且朝向所述处理表面;
流动第二处理气体至所述处理容积中并遍及所述处理表面;以及
在由所述第一处理气体及所述第二处理气体于所述处理表面上形成一个或更多个层期间调节所述基板的所述处理表面的所述温度。
13.如权利要求12所述的方法,其中所述第一处理气体在第一载气中包含一个或更多个Ⅲ族元素以及掺杂剂及氯化氢(HCl),且其中所述第二处理气体在第二载气中包含一个或更多个Ⅴ族元素以及掺杂剂及氯化氢(HCl)。
14.如权利要求12至权利要求13中的任一项所述的方法,其中所述第一处理气体是以与所述第二处理气体不同的速度流动。
CN201280019802.6A 2011-04-22 2012-04-19 用于将材料沉积在基板上的设备 Active CN103597580B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610312064.4A CN105925953B (zh) 2011-04-22 2012-04-19 用于将材料沉积在基板上的设备

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161478462P 2011-04-22 2011-04-22
US61/478,462 2011-04-22
US13/192,101 2011-07-27
US13/192,101 US20120270384A1 (en) 2011-04-22 2011-07-27 Apparatus for deposition of materials on a substrate
PCT/US2012/034222 WO2012145492A2 (en) 2011-04-22 2012-04-19 Apparatus for deposition of materials on a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610312064.4A Division CN105925953B (zh) 2011-04-22 2012-04-19 用于将材料沉积在基板上的设备

Publications (2)

Publication Number Publication Date
CN103597580A CN103597580A (zh) 2014-02-19
CN103597580B true CN103597580B (zh) 2016-06-29

Family

ID=47021654

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201280019802.6A Active CN103597580B (zh) 2011-04-22 2012-04-19 用于将材料沉积在基板上的设备
CN201610312064.4A Active CN105925953B (zh) 2011-04-22 2012-04-19 用于将材料沉积在基板上的设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201610312064.4A Active CN105925953B (zh) 2011-04-22 2012-04-19 用于将材料沉积在基板上的设备

Country Status (8)

Country Link
US (1) US20120270384A1 (zh)
JP (2) JP6087342B2 (zh)
KR (1) KR101938386B1 (zh)
CN (2) CN103597580B (zh)
DE (1) DE112012001845T5 (zh)
SG (1) SG194127A1 (zh)
TW (1) TWI553150B (zh)
WO (1) WO2012145492A2 (zh)

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
SG11201606004PA (en) * 2014-02-14 2016-08-30 Applied Materials Inc Upper dome with injection assembly
JP2015173226A (ja) * 2014-03-12 2015-10-01 株式会社アルバック 真空成膜装置及びこの装置を用いた成膜方法
JP6629248B2 (ja) * 2014-06-20 2020-01-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャルチャンバへのガス注入装置
US11060203B2 (en) * 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
US10760161B2 (en) 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
CN106715753B (zh) * 2014-09-05 2020-03-17 应用材料公司 常压外延沉积腔室
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10438860B2 (en) * 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN214848503U (zh) * 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110885973A (zh) * 2018-09-11 2020-03-17 上海引万光电科技有限公司 化学气相沉积设备
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
KR102310036B1 (ko) * 2019-08-19 2021-10-07 주식회사 유진테크 기판 지지 조립체 및 기판 처리 장치
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112626496B (zh) * 2020-11-24 2022-04-05 鑫天虹(厦门)科技有限公司 喷头组件与原子层沉积设备
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11959173B2 (en) 2021-03-18 2024-04-16 Asm Ip Holding B.V. Methods of forming structures, semiconductor processing systems, and semiconductor device structures
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114561632B (zh) * 2022-03-02 2022-12-27 南京大学 一种可实现有效掺杂的mpcvd设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
CN101423937A (zh) * 2007-10-16 2009-05-06 应用材料股份有限公司 多种气体同心注射喷头

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63240067A (ja) * 1987-03-27 1988-10-05 Seiko Instr & Electronics Ltd Mis型半導体装置のゲ−ト絶縁膜形成方法
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPH01183809A (ja) * 1988-01-19 1989-07-21 Babcock Hitachi Kk 光cvd装置
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
JPH0729827A (ja) * 1993-07-13 1995-01-31 Kawasaki Steel Corp 半導体基板の製造方法および装置
EP0637058B1 (en) * 1993-07-30 2004-03-31 Applied Materials, Inc. Method of supplying reactant gas to a substrate processing apparatus
DE69421463T2 (de) * 1993-07-30 2000-02-10 Applied Materials Inc Ablagerung des Siliziumnitrids
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
JP3407400B2 (ja) * 1994-04-18 2003-05-19 日新電機株式会社 薄膜気相成長装置
JPH08139034A (ja) * 1994-11-07 1996-05-31 Nissin Electric Co Ltd 薄膜気相成長装置
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
JP2000349027A (ja) * 1999-05-27 2000-12-15 Applied Materials Inc 半導体製造装置
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4216522B2 (ja) * 2002-04-23 2009-01-28 株式会社アルバック 蒸発源及びこれを用いた薄膜形成装置
US6774040B2 (en) * 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
KR20040046571A (ko) * 2002-11-27 2004-06-05 주식회사 피앤아이 이온빔을 이용한 재료의 표면 처리 장치
JP3893615B2 (ja) * 2002-12-20 2007-03-14 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
JP4564498B2 (ja) * 2004-10-15 2010-10-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4692143B2 (ja) * 2005-08-12 2011-06-01 住友電気工業株式会社 半導体装置の製造方法および製造装置
US7461794B2 (en) * 2005-08-18 2008-12-09 Applied Materials, Inc. Substrate temperature regulating support pins
US7976641B1 (en) * 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR20090051984A (ko) * 2007-11-20 2009-05-25 세메스 주식회사 기판 처리 장치
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
WO2009099776A1 (en) * 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
US8512472B2 (en) * 2008-11-13 2013-08-20 Applied Materials, Inc. Method and apparatus to enhance process gas temperature in a CVD reactor
US20110030615A1 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US9127360B2 (en) * 2009-10-05 2015-09-08 Applied Materials, Inc. Epitaxial chamber with cross flow
WO2011044046A2 (en) * 2009-10-07 2011-04-14 Applied Materials, Inc. Improved multichamber split processes for led manufacturing
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8409895B2 (en) * 2010-12-16 2013-04-02 Applied Materials, Inc. Gallium nitride-based LED fabrication with PVD-formed aluminum nitride buffer layer
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
CN101423937A (zh) * 2007-10-16 2009-05-06 应用材料股份有限公司 多种气体同心注射喷头

Also Published As

Publication number Publication date
JP6355772B2 (ja) 2018-07-11
WO2012145492A2 (en) 2012-10-26
JP6087342B2 (ja) 2017-03-01
CN105925953B (zh) 2019-01-22
JP2017108152A (ja) 2017-06-15
SG194127A1 (en) 2013-11-29
CN103597580A (zh) 2014-02-19
JP2014516475A (ja) 2014-07-10
DE112012001845T5 (de) 2014-01-23
WO2012145492A3 (en) 2013-01-17
US20120270384A1 (en) 2012-10-25
KR101938386B1 (ko) 2019-01-14
KR20140031907A (ko) 2014-03-13
TW201247933A (en) 2012-12-01
TWI553150B (zh) 2016-10-11
CN105925953A (zh) 2016-09-07

Similar Documents

Publication Publication Date Title
CN103597580B (zh) 用于将材料沉积在基板上的设备
KR101853274B1 (ko) 기판 상에 재료들을 증착하기 위한 방법들 및 장치
CN103493180A (zh) 半导体基板处理系统
US9396909B2 (en) Gas dispersion apparatus
CN115613010A (zh) 用于在衬底选择侧上沉积的pecvd沉积系统
JP2012195565A (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
CN104205290A (zh) 气体输送系统和气体输送系统的使用方法
CN110998793B (zh) 用于外延沉积工艺的注入组件
JP2013197507A (ja) 基板処理装置および基板処理方法ならびに半導体装置の製造方法
JP7147551B2 (ja) 気相成長装置及びこれに用いられるキャリア
JP2014099427A (ja) 基板処理装置、及び、基板の製造方法
JP2012191191A (ja) 基板処理装置
JP2012175077A (ja) 基板処理装置、基板の製造方法、及び、半導体デバイスの製造方法
JP7387129B2 (ja) 成膜用冶具及び常圧気相成長装置
CN114586133A (zh) 气相成长装置及气相成长方法
JP2012175075A (ja) 基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant