JP6087342B2 - 基板上に材料を堆積するための装置 - Google Patents

基板上に材料を堆積するための装置 Download PDF

Info

Publication number
JP6087342B2
JP6087342B2 JP2014506543A JP2014506543A JP6087342B2 JP 6087342 B2 JP6087342 B2 JP 6087342B2 JP 2014506543 A JP2014506543 A JP 2014506543A JP 2014506543 A JP2014506543 A JP 2014506543A JP 6087342 B2 JP6087342 B2 JP 6087342B2
Authority
JP
Japan
Prior art keywords
substrate
process gas
injector
disposed
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014506543A
Other languages
English (en)
Other versions
JP2014516475A5 (ja
JP2014516475A (ja
Inventor
エロール アントニオ シー. サンチェス,
エロール アントニオ シー. サンチェス,
リチャード オー. コリンズ,
リチャード オー. コリンズ,
デーヴィッド ケー. カールソン,
デーヴィッド ケー. カールソン,
ケヴィン ボーティスタ,
ケヴィン ボーティスタ,
ハーマン ピー. ディニス,
ハーマン ピー. ディニス,
カイラシュ パタレイ,
カイラシュ パタレイ,
ニィ オー. ミオ,
ニィ オー. ミオ,
デニス エル. デマース,
デニス エル. デマース,
クリストフ マルカダル,
クリストフ マルカダル,
スティーヴ ジャンパー,
スティーヴ ジャンパー,
サティシュ クップラオ,
サティシュ クップラオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014516475A publication Critical patent/JP2014516475A/ja
Publication of JP2014516475A5 publication Critical patent/JP2014516475A5/ja
Application granted granted Critical
Publication of JP6087342B2 publication Critical patent/JP6087342B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明の実施形態は、一般に、基板上に材料を堆積するための方法および装置に関する。
相補型金属酸化物半導体(CMOS)デバイスの臨界寸法が縮小し続けていくにつれて、例えばエネルギー効率および/または速度などを向上させるために、新規の材料をCMOS構造に取り入れることが必要となる。かかる材料群の1つが、III−V族材料であり、これらは、例えばトランジスタデバイスのチャネルにおいて使用され得る。残念ながら、現行の処理装置および処理方法は、低い欠陥密度、組成制御、高い純度、モルホロジ、ウエハ内均一性、およびランツーラン再現性など、適切な材料品質を有するIII−V族膜を作製することができない。
したがって、本発明者らは、例えばIII−V族材料などの材料を基板に堆積するための改良された方法および装置を提供する。
本明細書においては、基板上に材料を堆積するための方法および装置が提示される。いくつかの実施形態においては、本発明の方法および装置は、基板にIII−V族材料を堆積するために有利に使用され得る。いくつかの実施形態においては、基板を処理するための装置は、石英からなる内部表面を含む温度制御される反応容積部を有し、温度制御される反応容積部内に配置されて基板の処理表面を支持する基板支持体を有する、プロセスチャンバと、基板支持体の下方に配置されて基板支持体に熱エネルギーを供給する加熱システムと、基板支持体の第1の側に配置され、第1のプロセスガスを供給するための第1の流路および第1のプロセスガスから独立して第2のプロセスガスを供給するための第2の流路を有するインジェクタであって、基板の処理表面全体に第1のプロセスガスおよび第2のプロセスガスを供給するように位置決めされたインジェクタと、基板支持体の上方に配置されて基板の処理表面に第1のプロセスガスを供給するシャワーヘッドと、インジェクタの対向側の、基板支持体の第2の側に配置されてプロセスチャンバから第1のプロセスガスおよび第2のプロセスガスを排出する加熱式排気マニホルドとを含み得る。
いくつかの実施形態においては、基板に層を堆積するための方法は、処理容積部内の表面を洗浄することと、処理容積部内に基板を導入する前に、処理容積部内の温度を確立することと、処理容積部内に、および基板の処理表面全体に、第1のプロセスガスを流すことと、処理容積部内に、および処理表面の上方から処理表面に向かって、第1のプロセスガスを別個に流すことと、処理容積部内に、および処理表面全体に、第2のプロセスガスを流すことと、第1のプロセスガスおよび第2のプロセスガスで処理表面に1つまたは複数の層を形成する間、基板の処理表面の温度を調整することとを含む。
以下、本発明の他のおよびさらなる実施形態を説明する。
上記において簡潔な要約として示し以下においてさらに詳細に論じる本発明の実施形態は、添付の図面に示す本発明の例示的な実施形態を参照することにより、理解することが可能である。しかし、添付の図面は、本発明の典型的な実施形態を示すものにすぎず、したがって本発明の範囲を限定するものとして見なされるべきではない点に留意されたい。なぜならば、本発明は、他の同様に有効な実施形態を許容し得るからである。
本発明のいくつかの実施形態によるプロセスチャンバの概略側面図である。 本発明のいくつかの実施形態によるプロセスチャンバおよび保守点検エンクロージャの概略上面図である。 本発明のいくつかの実施形態によるプロセスチャンバのインジェクタおよび排気口の構成を示すプロセスチャンバの部分概略上面図である。 A〜Cは、本発明のいくつかの実施形態によるインジェクタの概略側面図である。 A〜Bは、本発明のいくつかの実施形態によるインジェクタの概略正面図である。 本発明のいくつかの実施形態によるシャワーヘッドの概略側面図である。 本発明のいくつかの実施形態による基板に層を堆積するための方法の流れ図である。 本発明のいくつかの実施形態による基板に堆積された層を示す図である。
理解し易くするために、可能な場合には同一の参照数字を使用することにより、図面間で共通の同一要素を示している。これらの図面は、縮尺どおりには描かれておらず、明瞭化のために簡略化される場合がある。さらなる詳述を伴わずに、一実施形態の要素および特徴が、他の実施形態に有益に組み込まれる場合があることが企図される。
本明細書においては、基板上に材料を堆積するための方法および装置が提示される。いくつかの実施形態においては、本発明の方法および装置は、基板上のIII−V族材料を堆積するために有利に使用され得る。本発明の方法および装置の実施形態は、例えばCOMSアプリケーションなどに適した改良されたIII−V族膜の堆積を有利に実現し得る。少なくともいくつかの実施形態においては、これらの改良された装置は、現行のエピタキシャルシリコンリアクタおよびシリコンゲルマニウムリアクタに関して主流の半導体産業によって寄せられる期待のいくつかまたは全てを満たし得る。例えば、いくつかの実施形態においては、改良された装置は、従来の市販のリアクタと比較した場合に、特定の基板内において、およびランツーランにおいて、より良好な材料品質(例えばより低い欠陥密度、良好な組成制御、より高い純度、良好なモルホロジ、およびより高い均一性のうちの1つまたは複数)を有するエピタキシャル膜の、例えば300mmシリコンウエハなどの上における成長を促進し得る。少なくともいくつかの実施形態においては、これらの改良された装置は、残留物の蓄積がはるかにより少なくなってメンテナンスサイクルおよび介入の頻度がより少なくなることに伴い、高信頼性のオペレーションおよび高いリアクタ安定性(およびプロセス安定性)を実現し得る。少なくともいくつかの実施形態においては、これらの改良された装置は、装置の安全かつ効率的な保守点検を可能にし得るため、装置のダウンタイムが減少し、全体の稼働率が上昇する。したがって、本明細書において説明される改良された装置および使用方法は、従来の市販のリアクタと比較した場合に、CMOSデバイス製造におけるIII−V族材料の改良された堆積を有利に実現し得る。
図1Aは、本発明のいくつかの実施形態によるプロセスチャンバ100の概略側面図を示す。いくつかの実施形態においては、プロセスチャンバ100は、Santa Clara、CaliforniaのApplied Materials, Inc.による市販のRP EPI(登録商標)リアクタなどの市販のプロセスチャンバ、またはエピタキシャルシリコン堆積プロセスを実施するように構成された任意の適切な半導体プロセスチャンバから、変更されたものであってもよい。プロセスチャンバ100は、例えば図6の方法に関連して以下において論じられるものなどのエピタキシャル堆積プロセスを実施するように構成されてもよく、例示的には、チャンバ本体110、温度制御される反応容積部101、インジェクタ114、オプションのシャワーヘッド170、および加熱式排気マニホルド118を備える。プロセスチャンバ100は、以下においてさらに詳細に論じるように、サポートシステム130およびコントローラ140をさらに含み得る。
インジェクタ114をチャンバ本体110内部に配置された基板支持体124の第1の側121に配置して、基板が基板支持体124内に配置された場合に、第1のプロセスガスおよび第2のプロセスガスなどの複数のプロセスガスを基板125の処理表面123全体に供給することができる。これらの複数のプロセスガスは、例えばガスパネル108などから供給されてもよい。インジェクタ114は、第1のプロセスガスを供給するための第1の流路、および第1のプロセスガスから独立して第2のプロセスガスを供給するための第2の流路とを有することもできる。第1の流路および第2の流路の実施形態は、図3A〜図3Bおよび図4A〜図4Bに関して以下に論じる。
加熱式排気マニホルド118をインジェクタ114の対向側の、基板支持体124の第2の側129に配置してプロセスチャンバ100から第1のプロセスガスおよび第2のプロセスガスを排出することができる。加熱式排気マニホルド118は、基板125の直径とほぼ同一のまたはそれ以上の幅の開口を含み得る。加熱式排気マニホルドは、接着低減ライナ117を含んでもよい。例えば、接着低減ライナ117は、石英またはニッケル含浸フッ素重合体等々のうちの1つまたは複数から構成され得る。
チャンバ本体110は、概して、上方部分102、下方部分104、およびエンクロージャ120を含む。上方部分102は、下方部分104に配置され、チャンバリッド106および上方チャンバライナ116を含む。いくつかの実施形態においては、上方高温計156が、処理中の基板の処理表面の温度に関するデータを供給するために設けられてもよい。チャンバリッド106の頂部に配置されたクランプリングおよび/または上方チャンバライナが載置され得るベースプレートなどの追加の要素が、図1Aにおいては省かれているが、プロセスチャンバ100内に任意選択で含まれてもよい。チャンバリッド106は、平坦形状(図示するような)もしくはドーム状形状(図示せず)を有するなど、任意の適切な形状寸法を有してもよく、または、反転湾曲蓋リッドなど、他の形状もまた、企図される。いくつかの実施形態においては、チャンバリッド106は、石英等々の材料から構成されてもよい。したがって、チャンバリッド106は、基板125から、および/または基板支持体124の下方に配置されたランプから放射されるエネルギーを、少なくとも部分的に反射し得る。シャワーヘッド170が設けられ、リッドの下方に配置された別個の構成要素(図示せず)である実施形態においては、シャワーヘッド170は、例えば上記に論ずるようなエネルギーを少なくとも部分的に反射する石英等々の材料から構成されてもよい。上方チャンバライナ116は、図示するように、インジェクタ114および加熱式排気マニホルド118の上方かつチャンバリッド106の下方に配置されてもよい。いくつかの実施形態においては、上方チャンバライナ116は、例えば上記に論ずるようなエネルギーを少なくとも部分的に反射する石英等々の材料から構成されてもよい。いくつかの実施形態においては、上方チャンバライナ116、チャンバリッド106、および下方チャンバライナ131(以下に論ずる)は、石英であってもよく、これにより、基板125を囲む石英エンベロープが有利に実現される。
概して、下方部分104は、ベースプレートアセンブリ119、下方チャンバライナ131、下方ドーム132、基板支持体124、予熱リング122、基板リフトアセンブリ160、基板支持アセンブリ164、加熱システム151、および下方高温計158を備える。加熱システム151を基板支持体124の下方に配置して基板支持体124に熱エネルギーを供給することができる。加熱システム151は、1つまたは複数の外方ランプ152および1つまたは複数の内方ランプ154を備えてもよい。「リング」という用語が、予熱リング122など、プロセスチャンバのある種の構成要素を説明するために使用されるが、これらの構成要素の形状は、円形である必要はなく、矩形、多角形、および楕円形等々を含むが、それらに限定されない任意の形状を備え得ることが企図される。下方チャンバライナ131は、例えばインジェクタ114および加熱式排気マニホルド118の下方に、かつベースプレートアセンブリ119の上方に配置されてもよい。インジェクタ114および加熱式排気マニホルド118は、概して、上方部分102と下方部分104との間に配置され、上方部分102および下方部分104のいずれかまたは両方に結合されてもよい。
図2は、インジェクタ114および加熱式排気マニホルド118の構成を示す、プロセスチャンバ100の部分概略上面図を示す。図示するように、インジェクタ114および加熱式排気マニホルド118は、基板支持体124の両側に配置される。インジェクタ114は、プロセスチャンバ100の内部容積部へとプロセスガスを供給するための複数のインジェクタ口202を含み得る。複数のインジェクタ口202は、実質的に基板125の処理表面123全体に第1のプロセスガス流および第2のプロセスガス流を供給するのに適したパターンにおいて、インジェクタ114の基板対面エッジに沿って定間隔をおいて配置されてもよい。例えば、複数のインジェクタ口202は、基板125の第1の側部の近傍のインジェクタ114の第1の側部から基板125の第2の側部の近傍のインジェクタ114の対向側の第2の側部にかけて、インジェクタ114の基板対面エッジに沿って定間隔を置いて配置されてもよい。加熱式排気マニホルド118は、実質的な層流条件を維持しつつ、チャンバからの過剰なプロセスガスおよび任意のプロセス副生成物の除去を促進するために、基板125の直径とほぼ同一またはそれ以上の幅の開口を含み得る。
いくつかの実施形態においては、複数のインジェクタ口202は、第1のプロセスガスおよび第2のプロセスガスを互いから独立して供給するように構成され得る。例えば、第1のプロセスガスは、複数の第1のインジェクタ口により供給されてもよく、第2のプロセスガスは、複数の第2のインジェクタ口により供給されてもよい。複数の第1のインジェクタ口のサイズ、個数、および構成は、基板の処理表面全体に所望の流量の第1のプロセスガスを供給するように制御され得る。複数の第2のインジェクタ口のサイズ、個数、および構成は、基板の処理表面全体に所望の流量の第2のプロセスガスを供給するように独立して制御され得る。さらに、複数の第2のインジェクタ口に比べて複数の第1のインジェクタ口の相対的なサイズ、個数、および構成は、基板の処理表面全体に、第2のプロセスガスに対する所望の濃度または流量パターンの第1のプロセスガスを供給するように制御され得る。
いくつかの実施形態においては、図3Aの断面図において示すように、インジェクタ114は、第1のプロセスガスを注入するための複数の第1のインジェクタ口302(例えば第1の流路)と、第2のプロセスガスを注入するための複数の第2のインジェクタ口304(例えば第2の流路)とを含み得る。図3Aに示すように、複数の第1のインジェクタ口302および複数の第2のインジェクタ口304は、相互に対して非平面配置構成とすることができる。いくつかの実施形態においては、複数の第1のインジェクタ口302がそれぞれ、複数の第2のインジェクタ口304のそれぞれの上方に配置されてもよい(またはその逆であってもよい)。複数の第1のインジェクタ口302のそれぞれが、図3に示すように、平行平面構成などの任意の所望の構成において、複数の第2のインジェクタ口304のそれぞれの上方に配置されてもよい。例えば、平行平面構成は、複数の第1のインジェクタ口302および複数の第2のインジェクタ口304が、別個の平面内に配置され、各平面が、基板125の処理表面123に対して平行であるものであってもよい。例えば、図3Bに示すように、複数の第1のインジェクタ口302はそれぞれ、基板125の上方の第1の高さ312の位置の第1の平面308に沿って配置され、複数の第2のインジェクタ口304はそれぞれ、第1の高さ312とは異なる基板125の上方の第2の高さ314の位置の第2の平面310に沿って配置される。いくつかの実施形態においては、複数の第1のインジェクタ口302はそれぞれ、複数の第2のインジェクタ口304の対応するそれぞれの直上に(例えば垂直方向に位置合わせされた状態で)配置されてもよい。いくつかの実施形態においては、第1のインジェクタ口302および第2のインジェクタ口304の1つまたは複数の各口が、破線で示されるインジェクタ口306により図示されるような非垂直方向に位置合わせされた状態であってもよい(これらのインジェクタ口306は、図示されるように第2のインジェクタ口304に加えてもしくは代替として設けられてもよく、および/または第1のインジェクタ口302に加えてもしくは代替として設けられてもよい)。
例えば図3Cに示すようないくつかの実施形態においては、複数の第1のインジェクタ口302は、基板125が基板支持体124の上に位置決めされた場合、基板125のエッジから第1の距離316に配置され、複数の第2のインジェクタ口304は、基板125が基板支持体124の上に位置決めされた場合、基板125のエッジから第2の距離318に配置され得る。例えば、「基板支持体124の上に位置決めされた場合」という表現は、基板125がプロセスチャンバ100における処理のためにとることが期待される所望の位置として理解されるように意図される。例えば、基板支持体124は、所望の処理位置に基板125を受けるためのリップ(図示せず)または他の適切な位置決め機構を備えてもよい。したがって、基板125が所望の処理位置にある場合、第1の距離316および第2の距離318は、基板125のエッジから測定され得る。例えば、図3Bに示すように、第1の距離316および第2の距離318は、異なっていてもよい。いくつかの実施形態においては、複数の第1のインジェクタ口302が、複数の第2のインジェクタ口304よりも基板125のエッジを越えて(またはさらに越えて)延びていてもよい。例えば、複数の第1のインジェクタ口302は、複数の第2のインジェクタ口304が第2のプロセスガスを注入する場合よりも、温度制御される反応容積部101内へとさらに第1のプロセスガスを注入するように、複数の第2のインジェクタ口304よりもさらに延びていてもよい。この理由は、第1のプロセスガスが、第2のプロセスガスよりも温度条件下における分解をより被りやすい場合があることによる。例えば、分解前に第1のプロセスの反応を最大限に引き起こすために、複数の第1のインジェクタが、温度制御される反応容積部101に対して第1のプロセスガスをさらす前に、温度制御される反応容積部101内へとできるだけ遠くに第1のプロセスガスを注入するように位置決めされてもよい。
第1のインジェクタ口302および第2のインジェクタ口304の個数、サイズ、および構成は、様々な利点を実現するための多数の組合せにおいて制御することができる。例えば、いくつかの実施形態においては、複数の第1のインジェクタ口302のうちのいくつかまたは全てが、複数の第2のインジェクタ口304のうちのいくつかまたは全てとは異なる直径を有してもよい。インジェクタ口の直径を制御することにより、それらの注入口を経由してプロセスチャンバに進入するプロセスガスの速度の制御が容易になる。より小さな直径の口は、所与の上流圧力において、より大きな直径の口よりも高速にてプロセスガスを供給する。例えば、いくつかの実施形態においては、複数の第2のインジェクタ口304はそれぞれ、図4A〜図4Bに示すように、複数の第1のインジェクタ口302のそれぞれよりも大きな直径を有してもよい。例えば、各第2のインジェクタ口304は、第1のプロセスガスよりも低速にて第2のプロセスガスを注入するために、より大きな直径を有してもよい。
代替的に、または組合せとして、いくつかの実施形態においては、インジェクタの中心のより近くに配置された複数の第1のインジェクタ口302のうちの1つの第1の直径404が、図4Aに示すように、インジェクタ114のエッジのより近くに配置された複数の第1のインジェクタ口のうちの別のものの第2の直径402とは異なってもよい。同様に、いくつかの実施形態においては、インジェクタ114の中心のより近くに配置された複数の第2のインジェクタ口304のうちの1つの第1の直径408が、インジェクタ114のエッジのより近くに配置された複数の第2のインジェクタ口304のうちの別のものの第2の直径406とは異なってもよい。例えば、図4Aに示すように、第1のインジェクタ口302または第2のインジェクタ口304の直径は、例えば線形減少低減方式または非線形等々の任意の適切な低減方式において、インジェクタ114のエッジから中心にかけて次第に小さくされてもよい。代替的には、第1のインジェクタ口302または第2のインジェクタ口304の直径は、インジェクタ114のエッジから中心にかけて、例えば段階的低減方式等々、より大きな差分に基づき小さくされてもよい。
代替的に、または組合せとして、いくつかの実施形態においては、複数の第1のインジェクタ口302および複数の第2のインジェクタ口304はそれぞれ、図4Bに示すように、共平面構成において配置されてもよい。例えば、複数の第1のインジェクタ口302および複数の第2のインジェクタ口304はそれぞれ、基板125の上方のほぼ同一の高さに配置されてもよく、または基板125の処理表面123に対して平行な面内に配置されてもよい。いくつかの実施形態においては、共平面構成において配置された場合に、複数の第1のインジェクタ口302および複数の第2のインジェクタ口304はそれぞれ、図4Bに示すように、交互に配置されてもよい。代替的には、第1のインジェクタ口302および/または第2のインジェクタ口304のうちの2つ以上が、第1のインジェクタ口302および/または第2のインジェクタ口304のサブセットへと共にグループ化され、このサブセットが、他の複数の隣接するインジェクタ口間に挟置されてもよい。
図1Aに戻ると、いくつかの実施形態においては、シャワーヘッド170を基板支持体124の上方に(例えば基板支持体124の対向側に)配置して基板125の処理表面123に第3のプロセスガスを供給することができる。第3のプロセスガスは、第1のプロセスガスと同一であってもよく、第2のプロセスガスと同一であってもよく、またはインジェクタ114により供給される第1のプロセスガスおよび第2のプロセスガスとは異なっていてもよい。いくつかの実施形態においては、第3のプロセスガスは、第1のプロセスガスと同一である。また、第3のプロセスガスは、例えばガスパネル108から供給することもできる。
例えば図1Aに図示されるようないくつかの実施形態においては、シャワーヘッド170は、基板125の処理表面123に対して第3のプロセスガスを供給するための単一の排出口171を含み得る。図1Aに図示されるようないくつかの実施形態においては、単一の排出口171は、処理表面123の中心または基板支持体124の中心に実質的に位置合わせされた位置に配置されてもよい。
いくつかの実施形態においては、シャワーヘッド170は、図5に図示されるように、複数の排出口502を含み得る。いくつかの実施形態においては、複数の排出口502は、共にグループ化され得る(例えば約10センチメートル(約4インチ)を超えない直径を有する円内に配置される)。これらの複数の排出口は、基板125の処理表面123に第1のプロセスガスを(例えばガス源504から)送達するために、処理表面の所望の領域、例えば処理表面の中心に実質的に位置合わせされる位置に配置されてもよい。シャワーヘッド170は、3つの排出口502を有するものとして図示されるが、第3のプロセスガスを供給するのに適した任意の所望の個数の排出口を有することが可能である。さらに、処理表面の中心と位置合わせされるように図示されるが、単一の排出口または複数の排出口は、処理中に基板の所望の領域にプロセスガスを供給するために、処理表面の任意の所望の領域と位置合わせされてもよい。
シャワーヘッド170は、チャンバリッド106と一体であってもよく(図1Aに示すように)、または別個の構成要素であってもよい(図5に示すように)。例えば、排出口171は、チャンバリッド106中に中ぐりされた孔であってもよく、任意選択でチャンバリッド106中に中ぐりされた孔を貫通して配置されたインサートを含み得る。代替的には、シャワーヘッド170は、チャンバリッド106の下方に配置された別個の構成要素であってもよい。いくつかの実施形態においては、シャワーヘッド170およびチャンバリッド106は共に、例えばシャワーヘッド170またはチャンバリッド106によりランプ152、154からのまたは基板125からのエネルギー吸収を制限するために、石英から構成されてもよい。
上述のようなインジェクタ114の、および任意選択でシャワーヘッド170の実施形態は、最適な堆積均一性および残留物形成を最小限に抑えた成分制御を促進するために、使用され得る。例えば、上記において論ずるように、第1のガスおよび第2のガスなどの特定の反応物が、インジェクタ114の独立して制御可能なインジェクタ口および/またはシャワーヘッド170の排出口を通して導かれてもよい。インジェクタ114の、および任意選択でシャワーヘッド170のこれらの実施形態により助長される注入方式により、各反応物の流速および/または流れプロファイルを、プロセスチャンバ100内を流れる他の反応物に対するその反応性と整合させることが可能となり得る。例えば、以下に論じるように、第1のプロセスガスは、第2のプロセスガスよりも速い流速にて流されてもよい。なぜならば、第1のプロセスガスは、第2のプロセスガスより反応性が高い可能性があり、より高速で分解する場合があるからである。したがって、残留物形成を制限し、均一性および/または組成を最適化するように、第1のプロセスガスおよび第2のプロセスガスの反応性を整合させるために、第1のプロセスガスは、第2のプロセスガスよりも高速で流されてもよい。前述の注入方式は単なる例示にすぎず、他の注入方式が可能である。
図1Aに戻ると、基板支持体124は、その上に基板125を支持するための、プレート(図1Aに図示)またはリング(図1Aにおいて破線により図示)など、任意の適切な基板支持体とすることができる。基板支持アセンブリ164は、概して、基板支持体124に対して結合された複数の支持ピン166を有する支持ブラケット134を含む。基板リフトアセンブリ160は、基板リフトシャフト126と、基板リフトシャフト126の各パッド127に選択的に載置される複数のリフトピンモジュール161とを備える。一実施形態においては、リフトピンモジュール161が、基板支持体124うちの第1の開口162を通して可動的に配置される、リフトピン128のオプションの上方部分を備える。作動に際して、基板リフトシャフト126は、リフトピン128に係合するように移動される。係合されると、リフトピン128は、基板支持体124の上方に基板125を上昇させ得る、または基板支持体124の上に基板125を下降させ得る。
基板支持体124は、リフト機構172と、基板支持アセンブリ164に対して結合された回転機構174とをさらに含み得る。リフト機構172は、基板125の処理表面123に対して垂直な方向に基板支持体124を移動させるために使用され得る。例えば、リフト機構172は、シャワーヘッド170およびインジェクタ114に対して基板支持体124を位置決めするために使用され得る。回転機構174は、中心軸を中心として基板支持体124を回転させるために使用され得る。作動に際して、リフト機構は、インジェクタ114および/またはシャワーヘッド170により生成される流れ場に対する基板125の位置の動的制御を容易にし得る。基板125の位置の動的制御と、回転機構174による基板125の連続回転との組合せは、流れ場に対する基板125の処理表面123の露出を最適化することにより、堆積均一性および/または組成を最適化し、処理表面123における残留物形成を最小限に抑えるために利用され得る。
処理中に、基板125は、基板支持体124の上に配置される。ランプ152および154は、赤外(IR)放射(すなわち熱)源であり、作動に際して、基板125全体に所定の温度分布を生じさせる。チャンバリッド106、上方チャンバライナ116、および下方ドーム132は、上記で論じたように石英から形成されてもよいが、他のIR透過性のおよびプロセス適合性の材料が、これらの構成要素を形成するために使用されてもよい。ランプ152、154は、基板支持体124の裏側に対して熱均一性を実現するためのマルチゾーンランプ加熱装置の一部であってもよい。例えば、加熱システム151は、複数の加熱ゾーンを含むことができ、各加熱ゾーンは複数のランプを含む。例えば、1つまたは複数のランプ152が、第1の加熱ゾーンとすることができr、1つまたは複数のランプ154が、第2の加熱ゾーンとすることができる。ランプ152、154は、約200から約900℃の広範な温度範囲を可能にし得る。ランプ152、154は、約5から約20℃/秒の高速応答制御を可能にし得る。例えば、ランプ152、154のこの温度範囲および高速応答制御により、基板125における堆積均一性が提供され得る。さらに、下方ドーム132は、基板支持体124の裏側および/または基板125の処理表面123における温度均一性の制御をさらに補助するために、例えば能動冷却または窓設計等々により、温度制御されてもよい。
温度制御される反応容積部101は、複数のチャンバ構成要素によるチャンバリッド106により形成され得る。例えば、かかるチャンバ構成要素は、チャンバリッド106、上方チャンバライナ116、下方チャンバライナ131、および基板支持体124を含み得る。温度制御される反応容積部101は、温度制御される反応容積部101を形成するチャンバ構成要素のうちの任意の1つまたは複数の表面などの、石英からなる内部表面を含み得る。温度制御される反応容積部101は、約20から約40リットルとすることができる。この容積部101は、例えば200mmまたは300mm等々の任意の適切にサイズ設定された基板を収容することができる。例えば、いくつかの実施形態においては、基板125が、約300mmである場合には、例えば上方チャンバライナ116および下方チャンバライナ131などの内部表面は、基板125のエッジから最大で約50mmまで離れていてもよい。例えば、いくつかの実施形態においては、上方チャンバライナ116および下方チャンバライナ131などの内部表面は、基板125のエッジから、最大で基板125の直径の約18%までの距離のところにあってもよい。例えば、いくつかの実施形態においては、基板125の処理表面123は、チャンバリッド106から、最大で約100mmまでとすることができ、または約2.03センチメートルから約2.54センチメートル(約0.8インチから約1インチ)の範囲とすることもできる。
温度制御される反応容積部101は、変動体積を有してもよく、例えば、容積部101のサイズは、リフト機構172がチャンバリッド106のより近くに基板支持体124を上昇させる場合には縮小し、リフト機構172がチャンバリッド106から離れるように基板支持体124を下降させる場合には拡張してもよい。温度制御される反応容積部101は、1つまたは複数の能動冷却構成要素または受動冷却構成要素により冷却され得る。例えば、容積部101は、プロセスチャンバ100の壁部により受動的に冷却されてもよく、この壁部は、例えばステンレス鋼等々であってもよい。例えば、別個に、または受動冷却との組合せにおいて、容積部101は、例えばチャンバ100の周囲に冷却剤を流すことなどにより、能動的に冷却されてもよい。例えば、この冷却剤は、ガスであってもよい。
支持システム130は、プロセスチャンバ100における所定のプロセス(例えばエピタキシャルシリコン膜の成長など)を実行およびモニタリングするために使用される構成要素を備える。かかる構成要素は、概して、かかる構成要素には、処理チャンバ100の様々なサブシステム(例えばガスパネル(複数可)、ガス分配導管、および真空/排気サブシステム等々)と、デバイス(例えば電源およびプロセス制御機器等々)が含まれる。例示的な支持システム130は、以下において論じられ、図1Bに図示される、化学物質デリバリシステム186を備えてもよい。
コントローラ140は、直接的に(図1Aに示すように)、または代替的にはプロセスチャンバおよび/または支持システムに関連付けられたコンピュータ(もしくはコントローラ)を介して、プロセスチャンバ100および支持システム130に対して結合されてもよい。コントローラ140は、様々なチャンバおよびサブプロセッサを制御するための工業環境で使用され得る任意の形態の汎用コンピュータプロセッサのうちの1つであってもよい。CPU142のメモリまたはコンピュータ可読媒体144は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク、または任意の他の形態のローカルもしくはリモートのデジタルストレージなど、容易に入手可能なメモリのうちの1つまたは複数であってもよい。サポート回路146は、従来的な態様でプロセッサをサポートするために、CPU142に対して結合される。これらの回路は、キャッシュ、電源、クロック回路、入出力回路、および入出力サブシステム等々を備える。
この改良された装置の実施形態では、プロセスチャンバ100の安全かつ効率的な保守点検が実現されることにより、プロセスチャンバ100のダウンタイムが減少し、全体の稼働率が上昇する。例えば、図1Bに示すように、プロセスチャンバ100のエンクロージャ120は、保守点検人員による保守点検エンクロージャ180からのアクセスが可能なものであってもよく、この保守点検エンクロージャ180は、エンクロージャ120に隣接して配置され得る。例えば、プロセスチャンバ100は、保守点検人員にとって、保守点検エンクロージャ180からエンクロージャ120を隔離し得るドア182を経由してアクセス可能なものとされてもよい。代替的には、または組合せとして、プロセスチャンバ100は、保守点検人員にとって、エンクロージャ120と保守点検エンクロージャ180との間に配置されたグローブボックス184を経由して保守点検エンクロージャ180内にアクセス可能なものとされてもよい。例えば、グローブボックス184は、エンクロージャ120内に配置されたプロセスチャンバ100および/またはプロセスチャンバ100の構成要素に対する、管理雰囲気等々の下における管理されたアクセスを可能にし得る。いくつかの実施形態においては、保守点検エンクロージャ180は、保守点検エンクロージャ180からアクセス可能なおよび/または保守点検エンクロージャ180内に配置された、ガスキャビネット等々の化学物質デリバリシステム186をさらに備えてもよい。化学物質デリバリシステム186は、所望の基板処理を促進するために、プロセスチャンバ100に対してプロセスガスを供給してもよい。図1Bに示すように、エンクロージャ120および保守点検エンクロージャ180は、例えば別個に、ハウス排気システム188へと通気されてもよい。代替的には、または組合せとして、エンクロージャ120は、ハウス排気システム188へと、または保守点検エンクロージャ180からアクセス可能な予備排気機構190を経由して別の排気システム(図示せず)へと通気されてもよい。
図6は、基板125に層700を堆積する方法600に関する流れ図を示す。以下、この方法600は、プロセスチャンバ100の実施形態にしたがって説明される。しかし、方法600は、この方法600の要素を実現し得る任意の適切なプロセスチャンバにおいて使用され得るものであり、プロセスチャンバ100に限定されるものではない。
1つまたは複数の層700が、図7に図示されるが、これらは、基板125に堆積され得る任意の適切な1つまたは複数の層であってもよい。例えば、1つまたは複数の層700は、III−V族材料を含んでもよい。1つまたは複数の層700は、例えばトランジスタデバイスのチャネル等々のデバイスの要素であってもよい。
任意選択で、方法600は、温度制御される反応容積部101(例えば処理容積部)内に基板125を導入する前に、温度制御される反応容積部101の表面を洗浄する、および/または温度制御される反応容積部101内の温度を確立することにより開始され得る。例えば、各基板125における層形成の前および/または後に、チャンバ100は、低いパーティクルレベルを維持するために、および/または各基板125上の残留物蓄積を制限するために、インシトゥ洗浄されてもよい。例えば、インシトゥ洗浄プロセスは、インジェクタ114および/またはシャワーヘッド170を通してハロゲンガスおよびパージガスを交互に流すことにより、チャンバから残留物等々をパージすることを含んでもよい。例えば、温度制御される反応容積部101の表面を洗浄することは、ハロゲンガスを用いてこの表面をエッチングし、不活性ガスを用いて処理容積部をパージすることを含んでもよい。例えば、ハロゲンガスは、塩素(Cl)塩化水素(HCl)、または三フッ化窒素(NF)等々のうちの1つまたは複数を含んでもよい。ハロゲンガスは、基板支持体124、上方チャンバライナ116および下方チャンバライナ131、またはチャンバリッド106等々の、温度制御される反応容積部101の任意の適切な構成要素に対して付与することができる。
温度制御される反応容積部101内の温度を確立することは、基板125の処理表面123に対するプロセスを実施するための温度であるかまたはそれに近い温度である任意の適切な温度へと温度を次第に上昇させることと、容積部101内に基板125を導入する前にこの所望の温度の所望の許容レベル内にこの温度を安定化させることとを含んでもよい。
方法600は、602で、基板125の処理表面123全体に第1のプロセスガスを流すことにより開始される。第1のプロセスガスは、インジェクタ114の複数の第1のインジェクタ口302に関して上記において論じた実施形態のうちの任意のものにより、処理表面123中全体に流されてもよい。いくつかの実施形態においては、第1のプロセスガスは、容易に分解してもよく、および/または、第2のプロセスガスよりも迅速に反応するものであってもよい。例えば、温度制御される反応容積部101内における第1のプロセスガスの滞留時間を、第2のプロセスガスに対して最小限に抑えることが必要となる場合がある。例えば、第1のプロセスガスの滞留時間を最小限に抑えることにより、第2のプロセスガスに対して第1のプロセスガスの消耗が最小限に抑えられ、1つまたは複数の層700における組成および/または厚さの均一性が改善され得る。したがって、いくつかの実施形態においては、第1のプロセスガスが、分解または反応前に、基板125に、または基板125の中心に、または基板125の中心付近により迅速に到達するように、より小さな直径を第1のインジェクタ口302に対して与えて、第1のプロセスガスの速度をより高速にしてもよい。そのため、第1のプロセスガスは、第2のプロセスガスよりも高い流量で流されてもよい。同様に、第1のインジェクタ口302の直径が図3Cに図示されるようにインジェクタ114のエッジから中心にかけて小さくなり得るいくつかの実施形態においては、第1のプロセスガスの流量は、処理表面のエッジにわたるよりも処理表面の中心にわたる方がより高くなり得る。いくつかの実施形態においては、第1のプロセスガスは、第1のキャリアガス内に1つまたは複数のIII族元素を含んでもよい。例示的な第1のプロセスガスは、トリメチルガリウム、トリメチルインジウム、またはトリメチルアルミニウムのうちの1つまたは複数を含む。また、ドーパントおよび塩化水素(HCl)が、第1のプロセスガスに添加されてもよい。
604では、任意選択で、第1のプロセスガスが、処理表面123の上方から処理表面123に向かって別個に流されてもよい。例えば、第1のプロセスガスは、上述のようなシャワーヘッド170の任意の適切な実施形態を使用してシャワーヘッド170から流されてもよい。第1のプロセスガスは、十分な量の第1のプロセスガスが処理表面123の中心に到達し、例えば第1のプロセスガスの反応性がより高いことなどにより反応して層700を形成することが確保されるように、シャワーヘッド170から流されてもよい。第1のプロセスガスは、例えば同時流方式、交互流方式、もしくは周期流方式などの任意の適切な方式、または処理表面123全体を層700が十分に覆うような任意の適切な流れ方式で、インジェクタ114およびシャワーヘッド170から流されてもよい。代替的には、窒素(N)または水素(H)などの不活性ガスが、処理表面123の上方から処理表面123に向かって流されてもよい。
606では、第2のプロセスガスが、処理表面123全体に流されてもよい。第2のプロセスガスは、インジェクタ114の複数の第2のインジェクタ口304に関して上記において論じた任意の実施形態により、処理表面123全体に流されてもよい。例えば、第2のプロセスガスは、第1のプロセスガスに比べてより低速で分解しても、および/またはより低い反応性を有してもよい。したがって、上記において論じたように第2のインジェクタ口304の直径がより大きいことにより、第2のプロセスガスは、より低速になり得るため、これにより、第2のプロセスガスは、第1のプロセスガスに比べてより低速でプロセスチャンバ100に進入し、基板の表面のより広い部分にわたり移動しつつ分解することが可能となる。そのため、第2のプロセスガスは、第1のプロセスガスに比べてより低流量にて流され得る。同様に、第2のインジェクタ口304の直径が、図3Cに示すようにインジェクタ114のエッジから中心にかけて小さくなり得るため、第2のプロセスガスの流量は、処理表面のエッジによりも処理表面の中心の方が高くなり得る。いくつかの実施形態においては、第2のプロセスガスは、第2のキャリアガス中に1つまたは複数のV族元素を含んでもよい。例示的な第2のプロセスガスは、アルシン(AsH3)、ホスフィン(PH3)、第三級ブチルアルシン、または第三級ブチルホスフィン等々のうちの1つまたは複数を含む。また、ドーパントおよび塩化水素(HCl)が、第2のプロセスガスに添加されてもよい。
第1のプロセスガスおよび第2のプロセスガスは、例えば同時流方式、交互流方式、または周期流方式などの任意の適切な方式、あるいは1つまたは複数の層700が処理表面123全体を十分に覆うような任意の適切な流れ方式などの、任意の適切な方式において、インジェクタ114およびシャワーヘッド170から流されてもよい。
608では、基板125の処理表面123の温度が、第1のプロセスガスおよび第2のプロセスガスで基板125の処理表面123に1つまたは複数の層700を形成するために、調整されてもよい。例えば、温度を調整することは、容積部101を構成する構成要素および/または内部表面のうちの任意の1つまたは複数を加熱または冷却するなど、温度制御される反応容積部101を加熱および冷却することを含んでもよい。例えば、加熱することは、基板支持体124の裏側表面に対してエネルギーを供給することを含んでもよく、この場合に、基板は、基板支持体124の表側表面に載置される。加熱することは、第1のプロセスガスおよび第2のプロセスガスが流れる前および/または流れている間に実施されてもよい。加熱することは、連続的または不連続的に、および周期的になど任意の所望の方式で行われてもよい。加熱することは、処理表面123上への層700の堆積を達成するために、第1のプロセスガスおよび第2のプロセスガスが流れる前および/または最中に基板125において任意の所望の温度プロファイルを実現するものであってもよい。加熱することは、ランプ152、154により実現されてもよい。ランプ152、154は、約5℃/秒から約20℃/秒で基板温度を上昇させることが可能であってもよい。ランプ152、154は、約200から約900℃の範囲の温度を基板125に与えることが可能であってもよい。
ランプ152、154は、約5℃/秒から約20℃/秒で処理表面123の温度を調整するために、上記において論じた冷却機構および冷却装置などの他の構成要素と組み合わせて使用されてもよい。例えば、1つまたは複数の層は、図7に示すように、第1の層702と、第1の層702の頂部に堆積された第2の層704とを備えてもよい。例えば、第1の層702は、第1の温度で処理表面123に堆積されてもよい。例えば、第1の層702は、核形成層等々であってもよい。第2の層704は、第2の温度において第1の層702の頂部に堆積されてよい。例えば、第2の層704は、バルク層等々であってもよい。いくつかの実施形態においては、第2の温度が、第1の温度よりも高くてもよい。第1の層702および第2の層704の堆積は、例えば第1の温度において第1の層702を堆積し、第1の温度よりも高い第2の温度において第2の層704を堆積し、次いで第1の温度において第2の層704の頂部に追加の第1の層702を堆積する等、所望の層厚さに達するまで反復されてもよい。
方法600の追加的なおよび/または代替的な実施形態が可能である。例えば、基板125は、第1の層702および第2の層704などの1つまたは複数の層を堆積する間に、回転されてもよい。別個に、または組合せとして、処理表面123の位置が、1つまたは複数の層の組成を調節するために、第1のプロセスガスおよび第2のプロセスガスの流れに対して変更されてもよい。例えば、リフト機構174は、第1のプロセスガスおよび/または第2のプロセスガスが、1つまたは複数の層の組成を制御するために流されている間、インジェクタ114および/またはシャワーヘッド170に対する処理表面123の位置を上昇および/または下降させるために使用されてもよい。
したがって、本明細書においては、III−V族材料を堆積するための改良された方法および装置が提供された。本発明の方法および装置の実施形態は、従来的な堆積装置により堆積されるIII−V族膜と比較して、CMOSアプリケーションに適した改良されたIII−V族膜の堆積を有利に実現し得る。
前述は、本発明の実施形態に関するが、本発明の他のおよびさらなる実施形態が、本発明の基本範囲から逸脱することなく考案され得る。

Claims (13)

  1. 基板を処理するための装置であって、
    石英を含む内部表面を含む温度制御される反応容積部と、前記温度制御される反応容積部内に配置されて基板の処理表面を支持する基板支持体とを有するプロセスチャンバと、
    前記基板支持体の下方に配置されて前記基板支持体に熱エネルギーを供給する加熱システムと、
    前記基板支持体の第1の側に配置され、第1のプロセスガスを供給するための第1の流路および前記第1のプロセスガスから独立して第2のプロセスガスを供給するための第2の流路を有するインジェクタであって、前記インジェクタは、前記基板の前記処理表面全体に前記第1のプロセスガスおよび前記第2のプロセスガスを供給するように位置決めされており、前記インジェクタは、前記第1のプロセスガスを注入するための複数の第1のインジェクタ口と、前記第2のプロセスガスを注入するための複数の第2のインジェクタ口と、をさらに備えており、前記複数の第2のインジェクタ口に比べて前記複数の第1のインジェクタ口の相対的なサイズ、個数、または形状は、前記基板の処理表面全体に、前記第2のプロセスガスに対する所望の濃度または流量パターンの前記第1のプロセスガスを供給するように調整される、インジェクタと、
    前記基板支持体の上方に配置されて前記基板の前記処理表面に前記第1のプロセスガスを供給するシャワーヘッドと、
    前記インジェクタの対向側の、前記基板支持体の第2の側に配置されて、前記プロセスチャンバから前記第1のプロセスガスおよび前記第2のプロセスガスを排出する加熱式排気マニホルドと
    を備える装置。
  2. 前記基板支持体は、
    前記基板支持体を回転させるための回転機構と、
    前記シャワーヘッドおよび前記インジェクタに対して前記基板支持体を位置決めするためのリフト機構と
    をさらに備える請求項1に記載の装置。
  3. 前記加熱システムは、
    それぞれが複数のランプを含む複数の加熱ゾーン
    をさらに備える、請求項1に記載の装置。
  4. 前記温度制御される反応容積部は、
    前記基板支持体の上方に配置されたチャンバリッドと、
    前記基板支持体に隣接して、前記インジェクタおよび前記排気マニホルドの上方かつ前記チャンバリッドの下方に配置された上方チャンバライナと、
    前記基板支持体に隣接して、前記インジェクタおよび前記排気マニホルドの下方に配置された下方チャンバライナと
    を含む複数のチャンバ構成要素により少なくとも部分的に形成される、請求項1ないし3のいずれか一項に記載の装置。
  5. 前記シャワーヘッドは、前記チャンバリッドの中、または前記チャンバリッドの下方に配置される、請求項4に記載の装置。
  6. 前記シャワーヘッド、前記上方チャンバライナ、前記下方チャンバライナ、前記チャンバリッド、および前記インジェクタは、石英を含む、請求項4に記載の装置。
  7. 前記複数の第2のインジェクタ口はそれぞれ、前記複数の第1のインジェクタ口のそれぞれよりも大きな直径を有する、請求項1に記載の装置。
  8. 前記複数の第1のインジェクタ口および前記複数の第2のインジェクタ口は別個の平面内に配置され、各平面は前記基板の前記処理表面に対して平行である、請求項1に記載の装置。
  9. 前記複数の第1のインジェクタ口は、基板が前記基板支持体の上に位置決めされた場合、基板のエッジから第1の距離に配置され、前記複数の第2のインジェクタ口は、前記基板が前記基板支持体の上に位置決めされた場合、前記基板の前記エッジから第2の距離に配置され、前記第1の距離は前記第2の距離とは異なる、請求項1に記載の装置。
  10. 前記複数の第1のインジェクタ口のうちの1つが、前記複数の第1のインジェクタ口のうちの別のものとは異なる直径を有し、前記複数の第2のインジェクタ口のうちの1つが、前記複数の第2のインジェクタ口のうちの別のものとは異なる直径を有する、請求項1に記載の装置。
  11. 前記シャワーヘッドは、
    前記処理表面の中心に位置合わせされた位置に配置された単一の排出口、または
    前記処理表面の所望の領域に位置合わせされた位置に配置された複数の排出口
    をさらに備える、請求項1ないし3のいずれか一項に記載の装置。
  12. 処理容積部内において基板上に層を堆積する方法であって、
    前記処理容積部内の表面を洗浄するステップと、
    前記処理容積部内に基板を導入する前に、前記処理容積部内の温度を確立するステップと、
    前記処理容積部内に、および前記基板の処理表面全体に、第1のプロセスガスを流すステップと、
    前記処理容積部内に、および前記処理表面の上方から前記処理表面に向かって、前記第1のプロセスガスを別個に流すステップと、
    前記処理容積部内に、および前記処理表面全体に、第2のプロセスガスを流すステップであって、前記第1のプロセスガスは、第1のキャリアガス中に、ドーパントおよび塩化水素(HCl)と共に1つまたは複数のIII族元素を含み、前記第2のプロセスガスは、第2のキャリアガス中に、ドーパントおよび塩化水素(HCl)と共に1つまたは複数のV族元素を含む、ステップと、
    前記第1のプロセスガスおよび前記第2のプロセスガスで前記処理表面に1つまたは複数の層を形成する間に、前記基板の前記処理表面の温度を調整するステップと
    を含む方法。
  13. 前記第1のプロセスガスは前記第2のプロセスガスとは異なる速度で流される、請求項12に記載の方法。
JP2014506543A 2011-04-22 2012-04-19 基板上に材料を堆積するための装置 Active JP6087342B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161478462P 2011-04-22 2011-04-22
US61/478,462 2011-04-22
US13/192,101 2011-07-27
US13/192,101 US20120270384A1 (en) 2011-04-22 2011-07-27 Apparatus for deposition of materials on a substrate
PCT/US2012/034222 WO2012145492A2 (en) 2011-04-22 2012-04-19 Apparatus for deposition of materials on a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017015145A Division JP6355772B2 (ja) 2011-04-22 2017-01-31 基板上に材料を堆積するための装置

Publications (3)

Publication Number Publication Date
JP2014516475A JP2014516475A (ja) 2014-07-10
JP2014516475A5 JP2014516475A5 (ja) 2015-06-18
JP6087342B2 true JP6087342B2 (ja) 2017-03-01

Family

ID=47021654

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014506543A Active JP6087342B2 (ja) 2011-04-22 2012-04-19 基板上に材料を堆積するための装置
JP2017015145A Active JP6355772B2 (ja) 2011-04-22 2017-01-31 基板上に材料を堆積するための装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017015145A Active JP6355772B2 (ja) 2011-04-22 2017-01-31 基板上に材料を堆積するための装置

Country Status (8)

Country Link
US (1) US20120270384A1 (ja)
JP (2) JP6087342B2 (ja)
KR (1) KR101938386B1 (ja)
CN (2) CN103597580B (ja)
DE (1) DE112012001845T5 (ja)
SG (1) SG194127A1 (ja)
TW (1) TWI553150B (ja)
WO (1) WO2012145492A2 (ja)

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN107658245A (zh) * 2013-01-16 2018-02-02 应用材料公司 石英上拱形结构及下拱形结构
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
CN105981133B (zh) * 2014-02-14 2019-06-28 应用材料公司 具有注入组件的上部圆顶
JP2015173226A (ja) * 2014-03-12 2015-10-01 株式会社アルバック 真空成膜装置及びこの装置を用いた成膜方法
KR20170020472A (ko) * 2014-06-20 2017-02-22 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 챔버로의 가스 주입을 위한 장치
SG10201901906YA (en) * 2014-09-05 2019-04-29 Applied Materials Inc Atmospheric epitaxial deposition chamber
US10760161B2 (en) 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10438860B2 (en) * 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN214848503U (zh) * 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
CN110885973A (zh) * 2018-09-11 2020-03-17 上海引万光电科技有限公司 化学气相沉积设备
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102310036B1 (ko) * 2019-08-19 2021-10-07 주식회사 유진테크 기판 지지 조립체 및 기판 처리 장치
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112626496B (zh) * 2020-11-24 2022-04-05 鑫天虹(厦门)科技有限公司 喷头组件与原子层沉积设备
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11959173B2 (en) 2021-03-18 2024-04-16 Asm Ip Holding B.V. Methods of forming structures, semiconductor processing systems, and semiconductor device structures
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114561632B (zh) * 2022-03-02 2022-12-27 南京大学 一种可实现有效掺杂的mpcvd设备

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63240067A (ja) * 1987-03-27 1988-10-05 Seiko Instr & Electronics Ltd Mis型半導体装置のゲ−ト絶縁膜形成方法
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPH01183809A (ja) * 1988-01-19 1989-07-21 Babcock Hitachi Kk 光cvd装置
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
JPH0729827A (ja) * 1993-07-13 1995-01-31 Kawasaki Steel Corp 半導体基板の製造方法および装置
EP0636704B1 (en) * 1993-07-30 1999-11-03 Applied Materials, Inc. Silicon nitride deposition
EP0967633A1 (en) * 1993-07-30 1999-12-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
JP3407400B2 (ja) * 1994-04-18 2003-05-19 日新電機株式会社 薄膜気相成長装置
JPH08139034A (ja) * 1994-11-07 1996-05-31 Nissin Electric Co Ltd 薄膜気相成長装置
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
JP2000349027A (ja) * 1999-05-27 2000-12-15 Applied Materials Inc 半導体製造装置
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4216522B2 (ja) * 2002-04-23 2009-01-28 株式会社アルバック 蒸発源及びこれを用いた薄膜形成装置
US6774040B2 (en) * 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
KR20040046571A (ko) * 2002-11-27 2004-06-05 주식회사 피앤아이 이온빔을 이용한 재료의 표면 처리 장치
JP3893615B2 (ja) * 2002-12-20 2007-03-14 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100868953B1 (ko) * 2004-10-15 2008-11-17 가부시키가이샤 히다치 고쿠사이 덴키 기판처리장치 및 반도체장치의 제조방법
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4692143B2 (ja) * 2005-08-12 2011-06-01 住友電気工業株式会社 半導体装置の製造方法および製造装置
US7461794B2 (en) * 2005-08-18 2008-12-09 Applied Materials, Inc. Substrate temperature regulating support pins
US7976641B1 (en) * 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR20090051984A (ko) * 2007-11-20 2009-05-25 세메스 주식회사 기판 처리 장치
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
WO2009099776A1 (en) * 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
US8512472B2 (en) * 2008-11-13 2013-08-20 Applied Materials, Inc. Method and apparatus to enhance process gas temperature in a CVD reactor
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US9127360B2 (en) * 2009-10-05 2015-09-08 Applied Materials, Inc. Epitaxial chamber with cross flow
WO2011044046A2 (en) * 2009-10-07 2011-04-14 Applied Materials, Inc. Improved multichamber split processes for led manufacturing
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8409895B2 (en) * 2010-12-16 2013-04-02 Applied Materials, Inc. Gallium nitride-based LED fabrication with PVD-formed aluminum nitride buffer layer
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system

Also Published As

Publication number Publication date
TW201247933A (en) 2012-12-01
CN105925953A (zh) 2016-09-07
JP6355772B2 (ja) 2018-07-11
US20120270384A1 (en) 2012-10-25
CN103597580A (zh) 2014-02-19
TWI553150B (zh) 2016-10-11
DE112012001845T5 (de) 2014-01-23
CN103597580B (zh) 2016-06-29
CN105925953B (zh) 2019-01-22
WO2012145492A3 (en) 2013-01-17
KR101938386B1 (ko) 2019-01-14
JP2017108152A (ja) 2017-06-15
WO2012145492A2 (en) 2012-10-26
SG194127A1 (en) 2013-11-29
KR20140031907A (ko) 2014-03-13
JP2014516475A (ja) 2014-07-10

Similar Documents

Publication Publication Date Title
JP6355772B2 (ja) 基板上に材料を堆積するための装置
KR101853274B1 (ko) 기판 상에 재료들을 증착하기 위한 방법들 및 장치
US9396909B2 (en) Gas dispersion apparatus
KR101888366B1 (ko) 반도체 기판 프로세싱 시스템
KR20200035186A (ko) 통합 에피택시 시스템 고온 오염물 제거
JP7029522B2 (ja) 一体化されたエピタキシと予洗浄システム
US20140060434A1 (en) Gas injector for high volume, low cost system for epitaxial silicon depositon
JP2014060327A (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
US10119194B2 (en) Indexed gas jet injector for substrate processing system
TW201933517A (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
WO2020203503A1 (ja) 成膜装置、成膜方法、および成膜システム

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150420

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150420

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170104

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170201

R150 Certificate of patent or registration of utility model

Ref document number: 6087342

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250