KR101888366B1 - 반도체 기판 프로세싱 시스템 - Google Patents

반도체 기판 프로세싱 시스템 Download PDF

Info

Publication number
KR101888366B1
KR101888366B1 KR1020137031080A KR20137031080A KR101888366B1 KR 101888366 B1 KR101888366 B1 KR 101888366B1 KR 1020137031080 A KR1020137031080 A KR 1020137031080A KR 20137031080 A KR20137031080 A KR 20137031080A KR 101888366 B1 KR101888366 B1 KR 101888366B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
gas
processing system
transfer chamber
Prior art date
Application number
KR1020137031080A
Other languages
English (en)
Other versions
KR20140031908A (ko
Inventor
에롤 안토니오 씨. 산체즈
데이비드 케이. 칼슨
사티쉬 쿠푸라오
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140031908A publication Critical patent/KR20140031908A/ko
Application granted granted Critical
Publication of KR101888366B1 publication Critical patent/KR101888366B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판들을 프로세싱하기 위한 장치가 제공된다. 일부 실시예들에서, 프로세싱 시스템은, 제 1 이송 챔버 및 상기 제 1 이송 챔버에 커플링되는 제 1 프로세스 챔버를 포함할 수 있으며; 상기 제 1 프로세스 챔버는, 상기 제 1 프로세스 챔버 내에 기판의 프로세싱 표면을 지지하기 위한 기판 지지체; 상기 기판 지지체의 제 1 측면에 배치되며, 제 1 프로세스 가스를 제공하기 위한 제 1 유동 경로 및 상기 제 1 프로세스 가스와 별개의 제 2 프로세스 가스를 제공하기 위한 제 2 유동 경로를 갖는 주입기 ― 상기 주입기는 상기 기판의 상기 프로세싱 표면 전체에 걸쳐서 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스를 제공함 ― ; 상기 기판 지지체 위에 배치되어, 상기 프로세싱 표면에 상기 제 1 프로세스 가스를 제공하는 샤워헤드; 및 상기 제 1 프로세스 챔버로부터 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스를 배기하기 위해, 상기 주입기 반대편의, 상기 기판 지지체의 제 2 측면에 배치되는 배기 포트를 더 포함한다.

Description

반도체 기판 프로세싱 시스템{SEMICONDUCTOR SUBSTRATE PROCESSING SYSTEM}
본 발명의 실시예들은 일반적으로 기판 프로세싱 시스템들에 관한 것이다.
상보형 금속 산화물 반도체(CMOS) 디바이스들의 임계 치수들이 계속해서 축소됨에 따라, 예를 들어, 에너지 효율 및/또는 속도를 개선하기 위해, 신규의 재료들이 CMOS 아키텍쳐 내에 통합될 필요가 있다. 그러한 하나의 재료들의 그룹은 Ⅲ-Ⅴ 재료들이며, 이러한 Ⅲ-Ⅴ 재료들은, 예를 들어 트랜지스터 디바이스의 채널에서 이용될 수 있다. 불행하게도, 현재의 프로세싱 장치 및 방법들은, 이를 테면 낮은 결함 밀도, 조성 제어(composition control), 높은 순도, 형태(morphology), 웨이퍼내 균일성(in-wafer uniformity), 및 런 투 런(run to run) 재생가능성(reproducibility)과 같은 적합한 재료 품질을 갖는 Ⅲ-Ⅴ 필름들을 산출(yield)하지 못하고 있다. 또한, Ⅲ-Ⅴ 재료들에 대한 현재의 프로세싱 장치는, 호환성 문제들로 인해, 예를 들어 하이-k 유전 재료들의 예비 세정(pre-clean), 어닐링, 및/또는 증착을 용이하게 하기 위한 프로세스 챔버들과 같은 다른 CMOS 디바이스 프로세싱 장치와, 예를 들어 클러스터 툴 내에서 통합되지 않는다. 예를 들어, 이러한 호환성 문제들은 작은 기판 크기들, 불량한(poor) Ⅲ-Ⅴ 필름 순도 또는 품질, 및/또는 불량한 챔버 유용성(serviceability)일 수 있다.
따라서, 본 발명자들은 기판 상에, 예를 들어 Ⅲ-Ⅴ 재료들과 같은 재료들을 증착하기 위한 개선된 방법들 및 장치를 제공하였다.
본원에서는, 기판들을 프로세싱하기 위한 장치가 제공된다. 일부 실시예들에서, 본 발명의 장치는 유익하게는 Ⅲ-Ⅴ 재료들을 이용하여 기판들을 프로세싱하는 데에 이용될 수 있다. 일부 실시예들에서, 프로세싱 시스템은, 제 1 이송 챔버 ― 상기 제 1 이송 챔버는 상기 제 1 이송 챔버에 커플링된 하나 또는 둘 이상의 프로세스 챔버들에 기판을 이송하거나 상기 하나 또는 둘 이상의 프로세스 챔버들로부터 기판을 받을 수 있음 ― ; 및 상기 제 1 이송 챔버에 커플링된, 하나 또는 둘 이상의 Ⅲ-Ⅴ 재료들을 증착하기 위한 제 1 프로세스 챔버를 포함할 수 있으며; 상기 제 1 프로세스 챔버는, 상기 제 1 프로세스 챔버 내의 요구되는 위치에 기판의 프로세싱 표면을 지지하기 위해 상기 제 1 프로세스 챔버 내에 배치되는 기판 지지체; 상기 기판 지지체의 제 1 측면(side)에 배치되며, 제 1 프로세스 가스를 제공하기 위한 제 1 유동 경로 및 상기 제 1 프로세스 가스와 별개의 제 2 프로세스 가스를 제공하기 위한 제 2 유동 경로를 갖는 주입기(injector) ― 상기 주입기는 상기 기판의 상기 프로세싱 표면 전체에 걸쳐서 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스를 제공하도록 위치됨 ― ; 상기 기판 지지체 위에 배치되어, 상기 기판의 상기 프로세싱 표면에 상기 제 1 프로세스 가스를 제공하는 샤워헤드; 및 상기 제 1 프로세스 챔버로부터 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스를 배기하기 위해, 상기 주입기 반대편의, 상기 기판 지지체의 제 2 측면에 배치되는 배기 포트를 더 포함한다.
일부 실시예들에서, 프로세싱 시스템은, 제 1 이송 챔버 ― 상기 제 1 이송 챔버는 상기 제 1 이송 챔버에 커플링된 하나 또는 둘 이상의 프로세스 챔버들에 기판을 이송하거나 상기 하나 또는 둘 이상의 프로세스 챔버들로부터 기판을 받을 수 있음 ― ; 및 상기 제 1 이송 챔버에 커플링된, 하나 또는 둘 이상의 Ⅲ-Ⅴ 재료들을 증착하기 위한 제 1 프로세스 챔버를 포함할 수 있으며; 상기 제 1 프로세스 챔버는, 상기 제 1 프로세스 챔버 내의 요구되는 위치에 기판의 프로세싱 표면을 지지하기 위해 상기 제 1 프로세스 챔버 내에 배치되는 기판 지지체; 상기 기판 지지체의 제 1 측면에 배치되며, 제 1 프로세스 가스를 제공하기 위한 제 1 유동 경로 및 상기 제 1 프로세스 가스와 별개의 제 2 프로세스 가스를 제공하기 위한 제 2 유동 경로를 갖는 주입기 ― 상기 주입기는 상기 기판의 상기 프로세싱 표면 전체에 걸쳐서 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스를 제공하도록 위치됨 ― ; 상기 주입기에 커플링되어 상기 제 1 프로세스 가스를 제공하는 제 1 가스 소스 ― 상기 제 1 프로세스 가스는 Ⅴ족 원소를 포함함 ― ; 상기 주입기에 커플링되어 상기 제 2 프로세스 가스를 제공하는 제 2 가스 소스 ― 상기 제 2 프로세스 가스는 Ⅴ족 원소를 포함함 ― ; 및 상기 제 1 프로세스 챔버로부터 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스를 배기하기 위해, 상기 주입기 반대편의, 상기 기판 지지체의 제 2 측면에 배치되는 배기 포트를 더 포함한다.
일부 실시예들에서, Ⅲ-Ⅴ 재료들을 증착하기 위한 프로세싱 시스템은, 제 1 이송 챔버 ― 상기 제 1 이송 챔버는, 상기 제 1 이송 챔버에 커플링된 프로세스 챔버들 사이에서 기판들을 이송하도록 구성된 제 1 로봇을 가짐 ― ; 제 2 이송 챔버 ― 상기 제 2 이송 챔버는, 상기 제 2 이송 챔버에 커플링된 프로세스 챔버들 사이에서 기판들을 이송하도록 구성된 제 2 로봇을 가짐 ― ; 상기 제 1 이송 챔버와 상기 제 2 이송 챔버 내의 챔버 파라미터들이 독립적으로 제어되도록, 상기 제 1 이송 챔버와 상기 제 2 이송 챔버 사이에 배치되어, 상기 제 1 이송 챔버를 상기 제 2 이송 챔버에 커플링하는 하나 또는 둘 이상의 중간 로드락들(loadlocks); 상기 제 1 이송 챔버에 커플링된, Ⅲ-Ⅴ 재료들을 증착하기 위한 제 1 프로세스 챔버; 상기 제 2 이송 챔버에 커플링되며, 기판을 플라즈마 세정하거나 기판 상에 하이-k 유전체를 증착하도록 각각 구성되는 제 2 프로세스 챔버 또는 제 3 프로세스 챔버 중에서 하나 또는 둘 이상; 상기 제 1 이송 챔버에 커플링되며, 기판을 어닐링하거나 Ⅲ-Ⅴ 재료들을 증착하도록 각각 구성되는 제 4 프로세스 챔버 또는 제 5 프로세스 챔버 중에서 하나 또는 둘 이상; 및 상기 제 2 이송 챔버에 커플링되며, 클러스터 툴 내로의 기판의 진입(entry) 또는 상기 클러스터 툴로부터의 기판의 퇴거(egress)를 용이하게 하도록 구성되는 하나 또는 둘 이상의 제 2 로드락 챔버들을 포함할 수 있다.
본 발명의 다른 및 추가의 실시예들이 하기에서 설명된다.
앞서 간략히 요약되고 하기에서 보다 상세히 설명되는 본 발명의 실시예들은 첨부된 도면들에 도시된 본 발명의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본원에서 개시되는 프로세스들을 수행하도록 적응될 수 있는 다중-챔버(multi-chamber) 프로세싱 시스템의 하나의 예의 개략적 상부도를 도시한다.
도 2는 본 발명의 일부 실시예들에 따른 프로세스 챔버의 개략적 측면도를 도시한다.
도 3은 본 발명의 일부 실시예들에 따른 도 2의 프로세스 챔버의 주입기 및 배기 포트의 부분적인 개략적 상부도를 도시한다.
도 4a-c는 본 발명의 일부 실시예들에 따른 주입기들의 개략적 정면 및 측면도들을 각각 도시한다.
도 5a-b는 본 발명의 일부 실시예들에 따른 주입기들의 개략적 정면도들을 각각 도시한다.
도 6은 본 발명의 일부 실시예들에 따른 샤워헤드의 개략적 측면도를 도시한다.
이해를 용이하게 하기 위하여, 도면들에서 공통되는 동일한 요소들을 표시하기 위해 가능한 한 동일한 참조번호들이 사용되었다. 도면들은 실척대로 그려진 것이 아니며, 명료함을 위해 단순화될 수 있다. 일 실시예의 요소들 및 특징들은 추가의 언급없이 다른 실시예들에 유리하게 통합될 수 있음이 고려된다.
본원에서는, 기판 상에 재료들을 증착하기 위한 방법들 및 장치가 제공된다. 일부 실시예들에서, 본 발명의 방법들 및 장치는 유익하게는 기판 상에 Ⅲ-Ⅴ 재료들을 증착하는 데에 이용될 수 있다. 본 발명의 방법들 및 장치는 유익하게는 상보형 금속 산화물 반도체(CMOS) 어플리케이션들에 대해 적합한 Ⅲ-Ⅴ 필름들의 증착을 제공한다. 일부 실시예들에서, 본 발명의 장치는 유익하게는, 이를 테면 GaAs(gallium arsenide), InGaAs(indium gallium arsenide), InAlAs(indium aluminum arsenide), InP(indium phosphide) 등과 같은 Ⅲ-Ⅴ 재료들을 주류의 실리콘-기반 CMOS 디바이스 제조에 통합하는 것을 제공할 수 있다.
도 1은 본 발명의 일부 실시예들에 따른 예시적인 다중-챔버 프로세싱 시스템(100)의 개략적 상부도이다. 적합한 다중-챔버 프로세싱 시스템들의 예들은, 어플라이드 머티어리얼스 인코포레이티드로부터 상업적으로 입수가능한 ENDURA
Figure 112013106614994-pct00001
, CENTURA
Figure 112013106614994-pct00002
및 PRODUCER
Figure 112013106614994-pct00003
프로세싱 시스템들을 포함한다. 본 발명으로부터 이득을 얻도록 적응될 수 있는 다른 유사한 다중-챔버 프로세싱 시스템은, 명칭이 "Stage Vacuum Wafer Processing System and Method"이며 1993년 2월 16일 특허허여된 미국 특허 번호 제5,186,718호에 개시되어 있다.
시스템(100)은 일반적으로 제 1 이송 챔버(102) 및 제 2 이송 챔버(104)를 포함한다. 제 1 및 제 2 이송 챔버들(102, 104)은 진공 챔버들일 수 있으며, 그리고 제 2 이송 챔버(104)를 제 1 이송 챔버(102)에 커플링하는 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108)에 의해 분리될 수 있다. 제 1 및 제 2 이송 챔버들(102, 104)은, 제 1 또는 제 2 이송 챔버들(102, 104)에 커플링된 하나 또는 둘 이상의 프로세스 챔버들로 기판들을 이송하고 이러한 하나 또는 둘 이상의 프로세스 챔버들로부터 기판들을 받을(receiving) 수 있다. 프로세스 챔버들 중 적어도 하나(예를 들어, 제 1 프로세스 챔버)가, 도 2-5에서 도시되어 하기에서 논의되는 바와 같이, 하나 또는 둘 이상의 Ⅲ-Ⅴ 재료들을 증착하도록 구성될 수 있다.
시스템(100)은, 시스템(100) 내로 그리고 시스템(100)으로부터 기판들을 이송하기 위해 로드락 챔버들(110, 112)을 더 포함할 수 있다. 예를 들어, 도 1에 도시된 바와 같이, 로드락 챔버들(110, 112)은 제 2 이송 챔버(104)에 커플링될 수 있다. 로드락 챔버들(110, 112)은 진공 챔버들이며, 이러한 진공 챔버들은 시스템(100) 내로의 그리고 시스템(100)으로부터의 기판들의 진입 및 퇴거를 용이하게 하기 위해, 이송 챔버 내의 압력 또는 그 근방의 진공 압력으로 선택적으로 "펌프 다운(pump down)"될 수 있거나, 또는 주위의 실내 압력(room pressure) 또는 그 근방의 압력으로 될 수 있다.
복수의 프로세스 챔버들이 제 2 이송 챔버(104)에 커플링될 수 있다. 예를 들어, 도 1에 나타낸 바와 같이, (더 많거나 더 적은 프로세스 챔버들이 제공될 수도 있기는 하지만), 프로세스 챔버들(114, 116, 118 및 120)이 제 2 이송 챔버(104)에 커플링되는 것으로 나타나있다. 각각의 프로세스 챔버(114, 116, 118 및 120)는, 제한하는 것은 아니지만, 원자 층 증착(ALD)을 포함하는 순환식 층 증착, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 예비 세정, 탈가스(de-gas), 어닐링, 방위(orientation), 또는 다른 기판 프로세스들과 같은, 특정의 기판 프로세싱 동작들을 수행하도록 구성될 수 있다.
예를 들어, 일부 실시예들에서, 프로세스 챔버(114)(예를 들어, 제 2 프로세스 챔버)는 기판을 플라즈마 세정하도록 구성될 수 있다. 예를 들어, 플라즈마 세정은 기판이 로드락 챔버들(110, 112) 중 하나를 통해 시스템(100)에 들어간 직후에, 및/또는 시스템(100) 내에서 기판 상에서 수행되는 임의의 하나 또는 둘 이상의 프로세스들 사이에서 일어날 수 있다. 예시적인 플라즈마 세정 챔버는, 캘리포니아 산타클라라의 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 SICONITM 챔버일 수 있다.
일부 실시예들에서, 프로세스 챔버(116)(예를 들어, 제 3 프로세스 챔버)는 하이-k 유전 재료를 증착하도록 구성될 수 있다. 예를 들어, 이러한 하이-k 유전 재료들은, 이를 테면 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD) 등등과 같은 임의의 적합한 프로세스에 의해 증착될 수 있다. 증착될 수 있는 예시적인 하이-k 유전 재료들은 하프늄 산화물(HfO2), 알루미나(Al2O3), 란타늄 알루미네이트(lanthanum aluminate, LaAlO3) 등등 중에서 하나 또는 둘 이상을 포함할 수 있다. 예를 들어, 하이-k 유전 재료는 트랜지스터 디바이스의 게이트 유전체 등등으로서 이용될 수 있다.
제 2 이송 챔버(104)는, 로드락 챔버들(110, 112), 하나 또는 둘 이상의 프로세스 챔버들(114, 116), 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108) 및 나머지 챔버들(118, 120) 사이에서, 기판들, 예를 들어 하기 논의되는 기판(225)을 이송하기 위해, 제 2 로봇(105)을 포함할 수 있다. 유사하게, 제 1 이송 챔버(102)는, 제 1 이송 챔버(102)에 커플링된 프로세스 챔버들과 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108) 사이에서 기판들(예를 들어, 기판(225))을 이송하기 위해 제 1 로봇(103)을 포함할 수 있다.
복수의 프로세스 챔버들이 제 1 이송 챔버(102)에 커플링될 수 있다. 예를 들어, 도 1에 나타낸 바와 같이, (더 많거나 더 적은 프로세스 챔버들이 제공될 수도 있기는 하지만), 프로세스 챔버들(122, 124, 126 및 128)이 제 1 이송 챔버(102)에 커플링되는 것으로 나타나있다. 프로세스 챔버들(114, 116, 118 및 120)과 유사하게, 프로세스 챔버들(122, 124, 126 및 128)은, 제한하는 것은 아니지만, 원자 층 증착(ALD)을 포함하는 순환식 층 증착, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 예비 세정, 탈가스, 어닐링, 방위 등등과 같은, 특정의 기판 프로세싱 동작들을 수행하도록 구성될 수 있다.
예를 들어, 일부 실시예들에서, 프로세스 챔버(124)(예를 들어, 상기 언급된 제 1 프로세스 챔버)는 하나 또는 둘 이상의 Ⅲ-Ⅴ 재료들을 증착하도록 구성될 수 있다. 제 1 프로세스 챔버의 실시예들은 도 2-5와 관련하여 하기에서 논의된다. 프로세스 챔버(124)(예를 들어, 제 1 프로세스 챔버)는, 프로세스 챔버(124)를 둘러싸고 설비(facility)의 배기 시스템(미도시)으로 환기하는(ventilating) 제 1 엔클로저(130)를 포함할 수 있다. 제 1 엔클로저(130)는, 프로세스 챔버(124)가 유휴상태(idle) 등등인 동안, 사용자로 하여금 프로세스 챔버(124)를 액세스하게 하기 위한 액세스 포트(132)를 포함할 수 있다. 프로세스 챔버(124)는 제 1 엔클로저(130) 근처에 배치되는 제 2 엔클로저(134)를 포함할 수 있다. 예를 들어, 제 1 엔클로저(130)는, 제 1 및 제 2 엔클로저들(130, 134) 사이의 액세스 포트(132)를 통해 제 2 엔클로저(134)에 선택적으로 개방될 수 있다. 제 2 엔클로저(134)는, 시스템(100)의 나머지 챔버들의 동작을 손상(impair)시키지 않으면서, 사용자, 서비스 직원 등등이 프로세스 챔버(124) 상에서 서비스를 수행하게 할 수 있다.
프로세스 챔버(124)는, 예를 들어 제 1 엔클로저(130) 내에 또는 제 1 엔클로저(130) 근처와 같이, 프로세스 챔버 근처에 배치되는, 가스 캐비닛 등등과 같은 화학물질 전달 시스템(chemical delivery system)(136)을 포함할 수 있다. 예시적인 화학물질 전달 시스템(136)의 상세한 설명은, 명칭이 "CHEMICAL DELIVERY SYSTEM" 이며 2012년 4월 6일 David K. Carlson 등에 의해 출원된 미국 특허 출원 일련 번호 제13/441,371호에 개시되어 있다. 일부 실시예들에서, 화학물질 전달 시스템(136)은, Ⅲ족 원소를 포함하는 제 1 프로세스 가스를 제공하기 위해, (도 2-4와 관련하여 하기에서 논의되는) 프로세스 챔버(124)의 주입기(214)에 커플링되는 제 1 가스 소스(138); 및 Ⅴ족 원소를 포함하는 제 2 프로세스 가스를 제공하기 위해, 주입기(214)에 커플링되는 제 2 가스 소스(140)를 포함할 수 있다. 프로세스 챔버(124)와 유사하게, 화학물질 전달 시스템(136)은, 예를 들어, 나타낸 바와 같이 제 2 액세스 포트(137)를 통해, 또는 대안적으로, 제 2 액세스 포트(137)가 존재하지 않는 경우에는 액세스 포트(132)를 통해, 제 2 엔클로저(134)로부터 액세스될 수 있다.
예를 들어, 일부 실시예들에서, 프로세스 챔버, 이를 테면 프로세스 챔버(126 또는 128) 중 어느 하나(예를 들어, 제 4 프로세스 챔버)는 기판을 어닐링하도록 구성될 수 있다. 예를 들어, 제 4 프로세스 챔버는, 시스템(100)의 다른 챔버에서의 프로세싱 이전에 및/또는 이후에, 기판을 어닐링하도록 구성될 수 있다. 예를 들어, 제 4 프로세스 챔버는, 기판을, 예를 들어 약 200 내지 약 800℃ 범위의 온도들로 가열할 수 있는 급속 열 프로세스(RTP) 챔버 등등일 수 있다.
일부 실시예들에서, 프로세스 챔버(126)(예를 들어, 제 5 프로세스 챔버)는 하나 또는 둘 이상의 Ⅲ-Ⅴ 재료들을 증착하도록 구성될 수 있다. 제 5 프로세스 챔버는, 상기 논의된 그리고 도 2-6과 관련하여 하기 논의되는 제 1 프로세스 챔버의 실시예들과 실질적으로 동등할 수 있다. 또한, 프로세스 챔버(126)는, Ⅲ-Ⅴ 재료들을 증착하도록 구성될 때, 상기 논의된 바와 같은 제 1 엔클로저, 제 2 엔클로저, 화학물질 전달 시스템 등을 포함할 수 있다. 일부 실시예들에서, 제 1 프로세스 챔버(예를 들어, 프로세스 챔버(124))는 n-타입 Ⅲ-Ⅴ 재료들을 증착하도록 구성될 수 있고, 제 2 프로세스 챔버(예를 들어, 프로세스 챔버(126))는 p-타입 Ⅲ-Ⅴ 재료들을 증착하도록 구성될 수 있다.
하나 또는 둘 이상의 중간 로드락 챔버들(106, 108)은, 기판들이 시스템(100) 내에서 이송될 수 있도록 허용하면서 초고의(ultrahigh) 진공 상태들(vacuum conditions)을 유지하기 위해 이용될 수 있다. 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108)은 제 1 및 제 2 이송 챔버들(102, 104) 간의 독립적인 및/또는 격리된 주변 제어(ambient control)를 허용할 수 있다. 예를 들어, 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108)은 제 1 및 제 2 이송 챔버들(102, 104)이 하나 또는 둘 이상의 독립적으로 제어되는 챔버 파라미터들을 갖도록 허용할 수 있다. 예를 들어, 하나 또는 둘 이상의 독립적으로 제어되는 챔버 파라미터들은 이송 챔버 압력, 이송 챔버를 통한 퍼지 가스 유동, 이송 챔버 수분 레벨, 또는 각각의 이송 챔버 내의 잔여 가스 레벨 중에서 하나 또는 둘 이상을 포함할 수 있다.
일부 실시예들에서, 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108)은, 기판이 이러한 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108) 내에 배치될 때 기판을 가스에 노출시키기 위해, 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108)에 커플링되는 가스 소스(142)를 포함할 수 있다. 예를 들어, 기판이 프로세스들 사이에서 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108)을 통과할 때, 가스 소스는 패시베이션 가스(passivation gas) 등등을 제공할 수 있다. 적합한 가스들의 예들은 황화수소(H2S), 황화암모늄(NH4S), 수소(H2) 등등을 포함한다. 또한, 하나 또는 둘 이상의 중간 로드락 챔버들(106, 108)은 냉각 또는 가열 챔버들 등등으로서 이용될 수 있다. 대안적으로, 제 1 또는 제 2 이송 챔버들(102, 104)에 커플링되는 프로세스 챔버들 중 임의의 프로세스 챔버는 냉각 챔버로서 이용될 수 있다.
제어기(150)가 프로세스 시스템(100)에 커플링되어, 프로세스 시스템(100) 및/또는 시스템(100)의 개별적인 컴포넌트들의 동작을 제어할 수 있다. 제어기(150)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 환경(industrial setting)에서 이용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU(152)의 메모리, 즉 컴퓨터-판독가능 매체(154)는, 이를 테면, 근거리 또는 원거리의, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장장치와 같은 하나 또는 둘 이상의 용이하게 이용가능한 메모리일 수 있다. 지원 회로들(156)은 통상적인 방식으로 프로세서를 지원하기 위해 CPU(152)에 커플링된다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입/출력 회로망 및 하위시스템들(subsystems) 등을 포함한다.
도 2는 본 발명의 일부 실시예들에 따른 프로세스 챔버(200)의 개략적 측면도를 도시한다. 일부 실시예들에서, 프로세스 챔버(200)는, 이를 테면 캘리포니아 산타클라라의 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 RP EPI
Figure 112013106614994-pct00005
반응기와 같은, 상업적으로 입수가능한 프로세스 챔버, 또는 에피택셜 실리콘 증착 프로세스들을 수행하도록 적응되는 임의의 적합한 반도체 프로세스 챔버로부터 변경될 수 있다. 프로세스 챔버(200)는 에피택셜 증착 프로세스들을 수행하도록 적응될 수 있으며, 그리고 챔버 본체(210), 온도-제어된 반응 용적(201), 주입기(214), 선택적인 샤워헤드(270), 및 가열된 배기 매니폴드(218)를 예시적으로(illustratively) 포함할 수 있다. 프로세스 챔버(200)는, 하기에서 더 상세히 논의되는 바와 같이, 지원 시스템들(230) 및 제어기(240)를 더 포함할 수 있다.
주입기(214)는, 기판이 기판 지지체(224) 상에 배치될 때 기판(225)의 프로세싱 표면(223) 전체에 걸쳐서 제 1 프로세스 가스 및 제 2 프로세스 가스와 같은 복수의 프로세스 가스들을 제공하기 위해, 챔버 본체(210) 내부에 배치된 기판 지지체(224)의 제 1 측면(221) 상에 배치될 수 있다. 복수의 프로세스 가스들은, 예를 들어, 가스 패널(208)로부터 제공될 수 있다. 주입기(214)는 제 1 프로세스 가스를 제공하기 위한 제 1 유동 경로 및 제 1 프로세스 가스와 별개의 제 2 프로세스 가스를 제공하기 위한 제 2 유동 경로를 가질 수 있다. 제 1 및 제 2 유동 경로들의 실시예들은 도 4a-c와 관련하여 하기에서 논의된다.
가열된 배기 매니폴드(218)가, 프로세스 챔버(200)로부터 제 1 및 제 2 프로세스 가스들을 배기하기 위해, 주입기(214) 반대편의, 기판 지지체(224)의 제 2 측면(229)에 배치될 수 있다. 가열된 배기 매니폴드(218)는, 기판(225)의 직경과 거의 동일하거나 또는 더 큰 폭을 갖는 개구를 포함할 수 있다. 가열된 배기 매니폴드는 점착 감소 라이너(adhesion reducing liner)(217)를 포함할 수 있다. 예를 들어, 점착 감소 라이너(217)는 석영, 니켈 함침 불소중합체(nickel impregnated fluoropolymer), 이산화니켈(nickel dioxide) 등등 중에서 하나 또는 그 이상을 포함할 수 있다.
챔버 본체(210)는 일반적으로 상부 부분(202), 하부 부분(204) 및 엔클로저(220)를 포함한다. 상부 부분(202)은 하부 부분(204) 위에 배치되며, 그리고 챔버 덮개(chamber lid)(206) 및 상부 챔버 라이너(216)를 포함한다. 일부 실시예들에서, 프로세싱 동안 기판의 프로세싱 표면의 온도에 대한 데이터를 제공하기 위해 상부 고온계(pyrometer)(256)가 제공될 수 있다. 부가적인 엘리먼트들, 이를 테면 챔버 덮개(206)의 정상에 배치되는 클램프 링 및/또는 베이스플레이트(baseplate)(이 베이스플레이트 위에 상부 챔버 라이너가 놓일 수 있다)가 도 2로부터 생략되었지만, 프로세스 챔버(200)에 선택적으로 포함될 수 있다. 챔버 덮개(206)는 임의의 적합한 기하형상을 가질 수 있는데, 이를 테면 (도시된 바와 같이) 편평(flat)하거나, 또는 돔형의(dome-like) 형상(미도시)을 갖거나, 또는 이를 테면 역 곡면형 덮개(reverse curve lid)들과 같은 다른 형상들이 또한 고려된다. 일부 실시예들에서, 챔버 덮개(206)는, 이를 테면 석영 등등과 같은 재료를 포함할 수 있다. 따라서, 챔버 덮개(206)는, 기판(225)으로부터 및/또는 기판 지지체(224) 아래에 배치된 램프들로부터 방사되는 에너지를 적어도 부분적으로 반사시킬 수 있다. 샤워헤드(270)가 제공되고 이 샤워헤드가 덮개 아래에 배치된 개별적인 컴포넌트인 실시예들에서(미도시), 샤워헤드(270)는, 예를 들어, 상기 논의된 바와 같이 에너지를 적어도 부분적으로 반사시키기 위해, 이를 테면 석영 등등과 같은 재료를 포함할 수 있다. 상부 챔버 라이너(216)는, 도시된 바와 같이, 주입기(214) 및 가열된 배기 매니폴드(218) 위에 그리고 챔버 덮개(206) 아래에 배치될 수 있다. 일부 실시예들에서, 상부 챔버 라이너(216)는, 예를 들어, 상기 논의된 바와 같이 에너지를 적어도 부분적으로 반사시키기 위해, 이를 테면 석영 등등과 같은 재료를 포함할 수 있다. 일부 실시예들에서, 상부 챔버 라이너(216), 챔버 덮개(206) 및 하부 챔버 라이너(231)(하기에서 논의됨)는 석영으로 이루어질 수 있으며, 그에 의해 유익하게는, 기판(225)을 둘러싸는 석영 엔벨로프(quartz envelope)를 제공할 수 있다.
하부 부분(204)은 일반적으로 베이스플레이트 조립체(219), 하부 챔버 라이너(231), 하부 돔(dome)(232), 기판 지지체(224), 예열 링(pre-heat ring)(222), 기판 상승 조립체(substrate lift assembly)(260), 기판 지지 조립체(264), 가열 시스템(251) 및 하부 고온계(258)를 포함한다. 가열 시스템(251)은 기판 지지체(224) 아래에 배치되어, 기판 지지체(224)에 열 에너지를 제공할 수 있다. 가열 시스템(251)은 하나 또는 둘 이상의 외측 램프들(outer lamps)(252) 및 하나 또는 둘 이상의 내측 램프들(inner lamps)(254)를 포함할 수 있다. 비록 용어 "링"이, 이를 테면 예열 링(222)과 같은, 프로세스 챔버의 특정 컴포넌트들을 설명하는 데에 이용되기는 하지만, 이들 컴포넌트들의 형상은 원형일 필요가 없으며, 그리고 직사각형들, 다각형들, 타원형들 등을 포함하지만 이것들로 한정되지 않는 임의의 형상을 포함할 수 있음이 고려된다. 하부 챔버 라이너(231)는, 예를 들어, 주입기(214) 및 가열된 배기 매니폴드(218) 아래에, 그리고 베이스플레이트 조립체(219) 위에 배치될 수 있다. 주입기(214) 및 가열된 배기 매니폴드(218)는 일반적으로 상부 부분(202)과 하부 부분(204) 사이에 배치되며, 그리고 상부 부분(202)과 하부 부분(204) 중 어느 하나 또는 둘 모두에 커플링될 수 있다.
도 3은 주입기(214) 및 가열된 배기 매니폴드(218)의 구성을 나타내는 프로세스 챔버(200)의 부분적인 개략적 상부도를 도시한다. 도시된 바와 같이, 주입기(214) 및 가열된 배기 매니폴드(218)는 기판 지지체(224)의 대향 측면들 상에 배치된다. 주입기(214)는 프로세스 챔버(200)의 내부 용적에 프로세스 가스들을 제공하기 위해 복수의 주입기 포트들(302)을 포함할 수 있다. 복수의 주입기 포트들(302)은, 실질적으로 기판(225)의 프로세싱 표면(223) 전체에 걸쳐서 제 1 및 제 2 프로세스 가스들의 유동을 제공하기에 적합한 패턴으로 주입기(214)의 기판 대면(facing) 에지를 따라 주기적으로 배치될 수 있다. 예를 들어, 복수의 주입기 포트들(302)은, 기판(225)의 제 1 측면 근방의 주입기(214)의 제 1 측면으로부터 기판(225)의 제 2 측면 근방의 주입기(214)의 대향하는 제 2 측면까지, 주입기(214)의 기판 대면 에지를 따라 주기적으로 배치될 수 있다.
일부 실시예들에서, 복수의 주입기 포트들(302)은 제 1 및 제 2 프로세스 가스들을 서로 독립적으로 제공하도록 구성될 수 있다. 예를 들어, 제 1 프로세스 가스는 복수의 제 1 주입기 포트들에 의해 제공될 수 있고, 제 2 프로세스 가스는 복수의 제 2 주입기 포트들에 의해 제공될 수 있다. 복수의 제 1 주입기 포트들의 크기, 개수 및 구성은, 기판의 프로세싱 표면 전체에 걸쳐서 제 1 프로세스 가스의 요구되는 유동을 제공하도록 제어될 수 있다. 복수의 제 2 주입기 포트들의 크기, 개수 및 구성은, 기판의 프로세싱 표면 전체에 걸쳐서 제 2 프로세스 가스의 요구되는 유동을 제공하도록 독립적으로 제어될 수 있다. 또한, 복수의 제 2 주입기 포트들과 비교하여 복수의 제 1 주입기 포트들의 상대적인 크기, 개수 및 구성은, 기판의 프로세싱 표면 전체에 걸쳐서 제 2 프로세스 가스에 대하여 제 1 프로세스 가스의 요구되는 농도 또는 유동 패턴을 제공하도록 제어될 수 있다.
일부 실시예들에서, 도 4a의 개략적 측면도에 도시된 바와 같이, 주입기(214)는 제 1 프로세스 가스를 주입하기 위한 복수의 제 1 주입기 포트들(402)(예를 들어, 제 1 유동 경로) 및 제 2 프로세스 가스를 주입하기 위한 복수의 제 2 주입기 포트들(404)(예를 들어, 제 2 유동 경로)을 포함할 수 있다. 도 4a에 도시된 바와 같이, 복수의 제 1 및 제 2 주입기 포트들(402, 404)은 서로에 대해 비평면(non-planar) 배열로 될 수 있다. 일부 실시예들에서, 복수의 제 1 주입기 포트들(402) 각각이 복수의 제 2 주입기 포트들(404) 각각 위에 배치될 수 있거나 (또는 그 반대로 배치될 수도 있다). 복수의 제 1 주입기 포트들(402) 각각은 임의의 요구되는 배열로, 이를 테면, 도 4b에 도시된 바와 같이, 평행한 평면 배열로 복수의 제 2 주입기 포트들(404) 각각 위에 배치될 수 있다. 예를 들어, 평행한 평면 배열은 복수의 제 1 및 제 2 주입기 포트들(402, 404)이 개별적인 평면들에 배치되는 경우일 수 있으며, 여기서 각 평면은 기판(225)의 프로세싱 표면(223)에 대해 평행하다. 예를 들어, 도 4b에 도시된 바와 같이, 복수의 제 1 주입기 포트들(402) 각각은 기판(225) 위의 제 1 높이(412)에서 제 1 평면(408)을 따라 배치되며, 그리고 복수의 제 2 주입기 포트들(404) 각각은 기판(225) 위의, 제 1 높이(412)와 다른 제 2 높이(414)에서 제 2 평면(410)을 따라 배치된다. 일부 실시예들에서, 복수의 제 1 주입기 포트들(402)의 각각의 포트들은 복수의 제 2 주입기 포트들(404)의 해당하는 포트들 바로 위에 (예를 들어, 복수의 제 2 주입기 포트들(404)의 해당하는 포트들과 수직 정렬로) 배치될 수 있다. 일부 실시예들에서, 제 1 및 제 2 주입기 포트들(402, 404)의 하나 또는 둘 이상의 개별적인 포트들은, 이를 테면 파선으로 된(dashed) 주입기 포트들(406)(이러한 주입기 포트들(406)은, 도시된 바와 같이, 제 2 주입기 포트들(404)에 부가적으로 또는 제 2 주입기 포트들(404) 대신에 제공될 수 있거나, 및/또는 제 1 주입기 포트들(402)에 부가적으로 또는 제 1 주입기 포트들(402) 대신에 제공될 수 있다)에 의해 도시된 바와 같이, 비수직(non-vertical) 정렬로 될 수 있다.
일부 실시예들에서, 예를 들어 도 4c에 도시된 바와 같이, 복수의 제 1 주입기 포트들(402)은 기판 지지체(224) 상에 위치될 때 기판(225)의 에지로부터 제 1 거리(416)에 배치될 수 있으며, 그리고 복수의 제 2 주입기 포트들(404)은 기판 지지체(224) 상에 위치될 때 기판(225)의 에지로부터 제 2 거리(418)에 배치될 수 있다. 예를 들어, "기판 지지체(224) 상에 위치될 때"의 문구(phrase)는, 프로세스 챔버(200)에서의 프로세싱을 위해 기판(225)이 취할(assume) 것으로 기대되는 요구되는 위치로서 이해되어야 하는 것으로 의도된다. 예를 들어, 기판 지지체(224)는 기판(225)을 요구되는 프로세싱 위치에 정렬 및/또는 유지하기 위한 립(lip)(미도시) 또는 다른 적합한 위치선정 메커니즘(positioning mechanism)들을 포함할 수 있다. 따라서, 제 1 및 제 2 거리들(416, 418)은, 기판(225)이 요구되는 프로세싱 위치에 있을 때, 기판(225)의 에지로부터 측정될 수 있다. 예를 들어, 도 4b에 도시된 바와 같이, 제 1 및 제 2 거리들(416, 418)은 상이할 수 있다. 일부 실시예들에서, 복수의 제 1 주입기 포트들(402)은 복수의 제 2 주입기 포트들(404) 보다 기판(225)의 에지를 넘어서 (또는 기판(225)의 에지를 넘어서 더 멀리) 연장될 수 있다. 예를 들어, 복수의 제 1 주입기 포트들(402)은, 복수의 제 2 주입기 포트들(404)이 제 2 프로세스 가스를 주입하는 것 보다 온도-제어된 반응 용적(201) 내로 제 1 프로세스 가스를 더 멀리(further) 주입시키기 위해, 복수의 제 2 주입기 포트들(404) 보다 더 멀리 연장될 수 있는데, 왜냐하면 제 1 프로세스 가스는 제 2 프로세스 가스 보다 온도 조건들 하에서 분해(decomposition)에 더 민감할 수 있기 때문이다. 예를 들어, 분해 이전에 제 1 프로세스 가스의 반응을 최대화하기 위해, 복수의 제 1 주입기들은 온도-제어된 반응 용적(201)에 제 1 프로세스 가스가 노출되기 전에, 온도-제어된 반응 용적(201) 내로 멀리(as far) 제 1 프로세스 가스를 주입시키도록 위치될 수 있다.
제 1 주입기 포트들(402) 및 제 2 주입기 포트들(404)의 개수, 크기 및 구성은 다양한 이득들을 제공하기 위해 수많은 조합들로 제어될 수 있다. 예를 들어, 일부 실시예들에서, 복수의 제 1 주입기 포트들(402) 중 일부 또는 전부는 복수의 제 2 주입기 포트들(404) 중 일부 또는 전부와 상이한 직경을 가질 수 있다. 주입기 포트들의 직경을 제어하게 되면, 그러한 주입 포트를 통해 프로세스 챔버에 들어가는 프로세스 가스의 속도를 제어하는 것을 용이하게 한다. 더 작은 직경의 포트는, 주어진 업스트림 압력에서, 더 큰 직경의 포트 보다 더 높은 속도로 프로세스 가스를 제공할 것이다. 예를 들어, 일부 실시예들에서, 도 5a-5b에 나타낸 바와 같이, 복수의 제 2 주입기 포트들(404) 각각은 복수의 제 1 주입기 포트들(402) 각각 보다 더 큰 직경을 가질 수 있다. 예를 들어, 각각의 제 2 주입기 포트(404)는 제 1 프로세스 가스 보다 더 낮은 속도로 제 2 프로세스 가스를 주입하기 위해 더 큰 직경을 가질 수 있다.
대안적으로 또는 조합하여, 일부 실시예들에서, 도 5a에 나타낸 바와 같이, 복수의 제 1 주입기 포트들(402) 중에서 주입기의 중심에 더 가까이 배치되는 하나의 주입기 포트의 제 1 직경(504)은 복수의 제 1 주입기 포트들 중에서 주입기(214)의 에지에 더 가까이 배치되는 다른 주입기 포트의 제 2 직경(502)과 상이할 수 있다. 유사하게, 일부 실시예들에서, 복수의 제 2 주입기 포트들(404) 중에서 주입기(214)의 중심에 더 가까이 배치되는 하나의 주입기 포트의 제 1 직경(508)은 복수의 제 2 주입기 포트들(404) 중에서 주입기(214)의 에지에 더 가까이 배치되는 다른 주입기 포트의 제 2 직경(506)과 상이할 수 있다. 예를 들어, 도 5a에 도시된 바와 같이, 제 1 또는 제 2 주입기 포트들(402, 404)의 직경들은, 예를 들어, 선형적으로 줄어드는 감소 방식(scheme), 또는 비선형의, 임의의 적합한 감소 방식 등등으로, 주입기(214)의 에지로부터 중심까지 점차적으로 감소될 수 있다. 대안적으로, 제 1 또는 제 2 주입기 포트들(402, 404)의 직경들은, 예를 들어, 계단식(stepwise) 감소 방식 등등과 같이, 주입기(214)의 에지로부터 중심까지 더 거칠게(coarsely) 감소될 수 있다.
대안적으로 또는 조합하여, 일부 실시예들에서, 도 5b에 나타낸 바와 같이, 복수의 제 1 및 제 2 주입기 포트들(402, 404) 각각은 동일 평면(co-planar) 배열로 배치될 수 있다. 예를 들어, 복수의 제 1 및 제 2 주입기 포트들(402, 404) 각각은, 기판(225) 위에서 거의 동일한 높이에서 또는 기판(225)의 프로세싱 표면(223)에 평행한 평면에 배치될 수 있다. 일부 실시예들에서, 동일 평면 배열로 배치될 때, 복수의 제 1 및 제 2 주입기 포트들(402, 404)의 개별적인 주입기 포트들은, 도 5b에 나타낸 바와 같이, 교대로 배치될 수 있다. 대안적으로, 제 1 및/또는 제 2 주입기 포트들(402, 404) 중에서 둘 또는 셋 이상은, 제 1 주입기 포트들(402) 및/또는 제 2 주입기 포트들(404)의 서브세트로 함께 그룹화될 수 있으며, 이러한 서브세트는 나머지의 복수의 주입기 포트들 중에서 인접하는 주입기 포트들 사이에 끼워넣어진다.
도 2로 돌아가면, 일부 실시예들에서, 기판(225)의 프로세싱 표면(223)에 제 3 프로세스 가스를 제공하기 위해, 샤워헤드(270)가 기판 지지체(224) 위에 배치될 수 있다(예를 들어, 기판 지지체(224)를 마주본다(opposing)). 제 3 프로세스 가스는 제 1 프로세스와 동일하거나, 제 2 프로세스 가스와 동일하거나, 또는 제 1 및 제 2 프로세스 가스들과 상이할 수 있는데, 이러한 제 1 및 제 2 프로세스 가스들은 주입기(214)에 의해 제공된다. 일부 실시예들에서, 제 3 프로세스 가스는 제 1 프로세스와 가스와 동일하다. 제 3 프로세스 가스는 또한, 예를 들어 가스 패널(208)로부터 제공될 수 있다.
일부 실시예들에서, 예를 들어, 도 2에 도시된 바와 같이, 샤워헤드(270)는 기판(225)의 프로세싱 표면(223)에 제 3 프로세스 가스를 제공하기 위한 단일 배출구(single outlet)(271)를 포함할 수 있다. 일부 실시예들에서, 도 2에 도시된 바와 같이, 단일 배출구(271)는, 프로세싱 표면(223)의 중심 또는 기판 지지체(224)의 중심과 실질적으로 정렬되는 위치에 배치될 수 있다.
일부 실시예들에서, 도 6에 도시된 바와 같이, 샤워헤드(270)는 복수의 배출구들(602)을 포함할 수 있다. 일부 실시예들에서, 복수의 배출구들(602)은 함께 그룹화될 수 있다(예를 들어, 약 4 인치 보다 크지 않은 직경을 갖는 원 내에 배치된다). (예를 들어 가스 소스(604)로부터의) 제 1 프로세스 가스를 기판(225)의 프로세싱 표면(223)에 전달하기 위해, 복수의 배출구들은, 프로세싱 표면의 요구되는 영역, 예를 들어 프로세싱 표면의 중심과 실질적으로 정렬되는 위치에 배치될 수 있다. 비록 3개의 배출구들(602)을 갖는 것으로서 도시되어 있지만, 샤워헤드(270)는 제 3 프로세스 가스를 제공하는 데에 적합한 임의의 바람직한 개수의 배출구들을 가질 수 있다. 또한, 비록 프로세싱 표면의 중심과 정렬되는 것으로서 나타나있기는 하지만, 단일 배출구 또는 복수의 배출구들은 프로세싱 표면의 임의의 요구되는 영역과 함께 정렬되어, 프로세싱 동안 기판의 그러한 요구되는 영역에 프로세스 가스들을 제공할 수 있다.
샤워헤드(270)는 (도 2에 나타낸 바와 같이) 챔버 덮개(206)와 일체형일 수 있거나, 또는 (도 6에 나타낸 바와 같이) 개별적인 컴포넌트일 수 있다. 예를 들어, 배출구(271)는 챔버 덮개(206) 내로 뚫린(bored) 홀(hole)일 수 있으며, 챔버 덮개(206) 내로 뚫린 홀을 통해 배치된 삽입물(insert)들을 선택적으로 포함할 수 있다. 대안적으로, 샤워헤드(270)는 챔버 덮개(206) 아래에 배치되는 개별적인 컴포넌트일 수 있다. 일부 실시예들에서, 샤워헤드(270) 및 챔버 덮개(206) 양자 모두는, 샤워헤드(270) 또는 챔버 덮개(206)에 의한 램프들(252, 254)로부터의 또는 기판(225)으로부터의 에너지 흡수를 제한하기 위해, 예를 들어, 석영을 포함할 수 있다.
상기 설명된 바와 같은, 주입기(214) 및 선택적으로, 샤워헤드(270)의 실시예들은, 최소의 잔류물 형성을 갖는 최적의 증착 균일성 및 조성 제어를 용이하게 하는 데에 이용될 수 있다. 예를 들어, 상기 논의된 바와 같이, 샤워헤드(270)의 배출구들 및/또는 주입기(214)의 독립적으로 제어가능한 주입기 포트들을 통해, 제 1 및 제 2 가스들과 같은 특정한 반응물들이 지향될(directed) 수 있다. 주입기(214) 및 선택적으로, 샤워헤드(270)의 실시예들에 의해 용이해지는 주입 방식은, 각 반응물의 유동 속도 및/또는 유동 프로파일을 프로세스 챔버(200)에서 유동하는 다른 반응물들에 대한 상기 각 반응물의 반응성(reactivity)과 매치시키는 것을 가능하게 할 수 있다. 예를 들어, 하기에서 논의되는 바와 같이, 제 1 프로세스 가스는 제 2 프로세스 가스 보다 더 높은 유동 속도로 유동될 수 있는데, 왜냐하면 제 1 프로세스 가스가 제 2 프로세스 가스 보다 더 반응적일 수 있고 더 빠르게 해리(dissociate)될 수 있기 때문이다. 따라서, 잔류물 형성을 제한하고 균일성 및/또는 조성을 최적화하도록 제 1 및 제 2 프로세스 가스들의 반응성을 매치시키기 위해, 제 1 프로세스 가스는 제 2 프로세스 가스 보다 더 높은 속도로 유동될 수 있다. 전술한 주입 방식은 단지 예시적인 것이며, 다른 주입 방식들이 가능하다.
도 2로 돌아가면, 기판 지지체(224)는, 기판(225)을 상부에 지지하기 위해, 이를 테면 (도 2에 도시된) 플레이트 또는 (도 2에서 점선들로 도시된) 링과 같은 임의의 적합한 기판 지지체일 수 있다. 기판 지지 조립체(264)는 일반적으로, 기판 지지체(224)에 커플링된 복수의 지지 핀들(266)을 갖는 지지 브래킷(support bracket)(234)을 포함한다. 기판 상승 조립체(260)는 기판 상승 샤프트(substrate lift shaft)(226) 및 상기 기판 상승 샤프트(226)의 각각의 패드들(227) 상에 선택적으로 놓여있는 복수의 상승 핀 모듈들(261)을 포함한다. 일 실시예에서, 상승 핀 모듈(261)은, 기판 지지체(224) 내의 제 1 개구(262)를 통해 이동가능하게 배치되는 상승 핀(228)의 선택적인 상부 부분을 포함한다. 동작에 있어서, 기판 상승 샤프트(226)는 상승 핀들(228)과 맞물리도록(engage) 이동된다. 맞물릴 때, 상승 핀들(228)은 기판 지지체(224) 위쪽으로 기판(225)을 상승시키거나(raise), 기판 지지체(224) 위로 기판(225)을 하강시킬(lower) 수 있다.
기판 지지체(224)는 기판 지지 조립체(264)에 커플링된 상승 메커니즘(272) 및 회전 메커니즘(274)을 더 포함할 수 있다. 상승 메커니즘(272)은 기판(225)의 프로세싱 표면(223)에 수직인 방향으로 기판 지지체(224)를 이동시키는 데에 이용될 수 있다. 예를 들어, 상승 메커니즘(272)은 샤워헤드(270) 및 주입기(214)에 대하여 기판 지지체(224)를 위치시키는 데에 이용될 수 있다. 회전 메커니즘(274)은 중심축 주위로 기판 지지체(224)를 회전시키기 위해 이용될 수 있다. 동작에 있어서, 상승 메커니즘은 주입기(214) 및/또는 샤워헤드(270)에 의해 생성되는 유동 필드(flow field)에 대한 기판(225)의 위치의 동적인 제어를 용이하게 할 수 있다. 회전 메커니즘(274)에 의한 기판(225)의 연속적인 회전과 결합하여 기판(225) 위치의 동적인 제어는, 유동 필드에 대한 기판(225)의 프로세싱 표면(223)의 노출을 최적화하여, 프로세싱 표면(223) 상에서의 잔류물 형성을 최소화하고 그리고 증착 균일성 및/또는 조성을 최적화하는 데에 이용될 수 있다.
프로세싱 동안, 기판(225)은 기판 지지체(224) 상에 배치된다. 램프들(252, 254)은 적외선(IR) 방사(radiation)(즉, 열)의 소스들이며, 그리고 동작에 있어서, 기판(225) 전체에 걸쳐서 미리결정된 온도 분포를 발생시킨다. 챔버 덮개(206), 상부 챔버 라이너(216) 및 하부 돔(232)은 상기 논의된 바와 같이 석영으로부터 형성될 수 있지만, 다른 IR-투명(transparent) 및 프로세스 호환가능(compatible) 재료들이 또한 이들 컴포넌트들을 형성하는 데에 이용될 수 있다. 램프들(252, 254)은 기판 지지체(224)의 후면측(backside)에 열적 균일성을 제공하기 위한 다중-구역(multi-zone) 램프 가열 장치의 일부(part)일 수 있다. 예를 들어, 가열 시스템(251)은 복수의 가열 구역들을 포함할 수 있으며, 각각의 가열 구역은 복수의 램프들을 포함한다. 예를 들어, 하나 또는 둘 이상의 램프들(252)이 제 1 가열 구역일 수 있고, 하나 또는 둘 이상의 램프들(254)이 제 2 가열 구역일 수 있다. 램프들(252, 254)은 약 200 내지 약 900℃의 넓은 온도 범위(thermal range)를 제공할 수 있다. 램프들(252, 254)은 초당 약 5 내지 약 20℃의 빠른 응답 제어를 제공할 수 있다. 예를 들어, 램프들(252, 254)의 온도 범위 및 빠른 응답 제어는 기판(225) 상에서 증착 균일성을 제공할 수 있다. 또한, 하부 돔(232)은, 기판(225)의 프로세싱 표면(223) 상에서의 및/또는 기판 지지체(224)의 후면측 상에서의 열적 균일성의 제어를 더 돕기 위해, 예를 들어, 능동 냉각(active cooling), 윈도우 설계 등등에 의해 온도 제어될 수 있다.
온도-제어된 반응 용적(201)은 복수의 챔버 컴포넌트들에 의하여 챔버 덮개(206)에 의해 형성될 수 있다. 예를 들어, 이러한 챔버 컴포넌트들은 챔버 덮개(206), 상부 챔버 라이너(216), 하부 챔버 라이너(231) 및 기판 지지체(224) 중에서 하나 또는 둘 이상을 포함할 수 있다. 온도-제어된 반응 용적(201)은, 온도-제어된 반응 용적(201)을 형성하는 챔버 컴포넌트들 중에서 임의의 하나 또는 둘 이상의 표면들과 같은, 석영을 포함하는 내부 표면들을 포함할 수 있다. 온도-제어된 반응 용적(201)은 약 20 내지 약 40 리터일 수 있다. 용적(201)은, 예를 들어 200mm, 300mm 등등과 같은 임의의 적절한 크기의(suitably sized) 기판을 수용할 수 있다. 예를 들어, 일부 실시예들에서, 기판(225)이 약 300mm 인 경우, 예를 들어 상부 및 하부 챔버 라이너들(216, 231)의 내부 표면들은 기판(225)의 에지로부터 약 50mm까지 떨어져있을 수 있다. 예를 들어, 일부 실시예들에서, 이를 테면 상부 및 하부 챔버 라이너들(216, 231)의 내부 표면들은 기판(225)의 에지로부터 기판(225)의 직경의 약 18% 까지의 거리로 떨어져있을 수 있다. 예를 들어, 일부 실시예들에서, 기판(225)의 프로세싱 표면(223)은 챔버 덮개(206)로부터 최대(up to) 약 100 밀리미터, 또는 약 0.8 내지 약 1 인치의 범위에 있을 수 있다.
온도-제어된 반응 용적(201)은 가변(varying) 용적을 가질 수 있는데, 예를 들어, 용적(201)의 크기는, 상승 메커니즘(272)이 기판 지지체(224)를 챔버 덮개(206)에 더 가깝게 상승시킬 때에는 축소될 수 있고, 상승 메커니즘(272)이 기판 지지체(224)를 챔버 덮개(206)로부터 멀리 하강시킬 때에는 확대될 수 있다. 온도-제어된 반응 용적(201)은 하나 또는 둘 이상의 능동 또는 수동 냉각 컴포넌트들에 의해 냉각될 수 있다. 예를 들어, 용적(201)은 프로세스 챔버(200)의 벽들에 의해 수동적으로(passively) 냉각될 수 있으며, 이러한 벽들은 예를 들어 스테인리스 강 등등일 수 있다. 예를 들어, 개별적으로 또는 수동 냉각과 조합하여, 예를 들어 챔버(200) 주위에 냉각제(coolant)를 유동시킴으로써, 용적(201)이 능동적으로 냉각될 수 있다. 예를 들어, 냉각제는 가스일 수 있다.
지원 시스템들(230)은 프로세스 챔버(200)에서 미리 결정된 프로세스들(예를 들어, 에피택셜 실리콘 필름들을 성장시키는 것)을 실행하고 모니터하는 데에 이용되는 컴포넌트들을 포함한다. 이러한 컴포넌트들은 일반적으로, 프로세스 챔버(200)의 다양한 서브-시스템들(예를 들어, 가스 패널(들), 가스 분배 도관들, 진공 및 배기 서브-시스템들 등) 및 디바이스들(예를 들어, 전력 공급부들, 프로세스 제어 기구들 등)을 포함한다. 예시적인 지원 시스템들(230)은, 도 1에 도시되어 상기 논의된 화학물질 전달 시스템(136)을 포함할 수 있다.
제어기(240)는, (도 2에 나타낸 바와 같이) 직접적으로, 또는 대안적으로는, 프로세스 챔버 및/또는 지원 시스템들과 연관된 컴퓨터들(또는 제어기들)을 통해, 프로세스 챔버(200) 및 지원 시스템들(230)에 커플링될 수 있다. 제어기(240)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 환경(industrial setting)에서 이용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU(242)의 메모리, 즉 컴퓨터-판독가능 매체(244)는, 이를 테면, 근거리 또는 원거리의, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장장치와 같은 하나 또는 둘 이상의 용이하게 이용가능한 메모리일 수 있다. 지원 회로들(246)은 통상적인 방식으로 프로세서를 지원하기 위해 CPU(242)에 커플링된다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입/출력 회로망 및 하위시스템들(subsystems) 등을 포함한다.
이와 같이, 본원에서는, Ⅲ-Ⅴ 재료들을 증착하기 위한 장치 및 방법들이 제공되었다. 본 발명의 방법들 및 장치의 실시예들은 유익하게는, 다른 어플리케이션들 중에서, CMOS 어플리케이션들에 대해 적합한 Ⅲ-Ⅴ 필름들의 증착을 제공할 수 있다.
상술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가 실시예들이 본 발명의 기본 범위를 벗어나지 않으면서 안출될 수 있다.

Claims (17)

  1. 프로세싱 시스템으로서,
    제 1 이송 챔버 ― 상기 제 1 이송 챔버는 상기 제 1 이송 챔버에 커플링된 하나 또는 둘 이상의 프로세스 챔버들에 기판을 이송하거나 상기 하나 또는 둘 이상의 프로세스 챔버들로부터 기판을 받을 수 있음 ― ; 및
    상기 제 1 이송 챔버에 커플링된, 하나 또는 둘 이상의 Ⅲ-Ⅴ 재료들을 증착하기 위한 제 1 프로세스 챔버를 포함하며,
    상기 프로세스 챔버는,
    상기 프로세스 챔버 내의 요구되는 위치에 기판의 프로세싱 표면을 지지하기 위해 상기 프로세스 챔버 내에 배치되는 기판 지지체;
    상기 기판 지지체의 제 1 측면에 배치되며, 제 1 프로세스 가스를 제공하기 위한 제 1 유동 경로 및 상기 제 1 프로세스 가스와 독립적으로 제 2 프로세스 가스를 제공하기 위한 제 2 유동 경로를 갖는 주입기(injector) ― 상기 주입기는 상기 기판의 상기 프로세싱 표면에 걸쳐 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스를 제공하도록 위치됨 ― ;
    상기 제 1 프로세스 가스를 제공하기 위해 상기 주입기에 커플링된 Ⅲ족 원소 가스 소스;
    상기 제 2 프로세스 가스를 제공하기 위해 상기 주입기에 커플링된 Ⅴ족 원소 가스 소스;
    상기 기판의 상기 프로세싱 표면에 상기 제 1 프로세스 가스를 제공하기 위해 상기 기판 지지체 위에 배치되는 샤워헤드; 및
    상기 프로세스 챔버로부터 상기 제 1 프로세스 가스 및 제 2 프로세스 가스를 배기하기 위해, 상기 주입기의 반대편 동일 평면상에, 상기 기판 지지체의 제 2 측면에 배치되는 가열된 배기 매니폴드(heated exhaust manifold)
    를 포함하는,
    프로세싱 시스템.
  2. 제 1 항에 있어서,
    제 2 이송 챔버; 및
    상기 제 2 이송 챔버를 상기 제 1 이송 챔버에 커플링하는 하나 또는 둘 이상의 중간 로드락 챔버(intermediate loadlock chamber)들을 더 포함하는,
    프로세싱 시스템.
  3. 제 2 항에 있어서,
    기판이 상기 하나 또는 둘 이상의 중간 로드락 챔버들 내에 배치될 때 상기 기판을 가스에 노출시키기 위해, 상기 하나 또는 둘 이상의 중간 로드락 챔버들에 커플링되는 가스 소스를 더 포함하는,
    프로세싱 시스템.
  4. 제 2 항에 있어서,
    상기 제 1 이송 챔버 및 상기 제 2 이송 챔버는 하나 또는 둘 이상의 독립적으로 제어되는 챔버 파라미터들을 갖는,
    프로세싱 시스템.
  5. 제 4 항에 있어서,
    상기 하나 또는 둘 이상의 독립적으로 제어되는 챔버 파라미터들은, 압력, 퍼지 가스 유동, 수분 레벨, 또는 잔여 가스 레벨 중에서 하나 또는 둘 이상을 포함하는,
    프로세싱 시스템.
  6. 제 2 항에 있어서,
    기판을 플라즈마 세정(plasma clean)하기 위한 제 2 프로세스 챔버; 및
    하이-k 유전 재료(high-k dielectric material)를 증착하기 위한 제 3 프로세스 챔버를 더 포함하며,
    상기 제 2 프로세스 챔버 및 상기 제 3 프로세스 챔버는 상기 제 2 이송 챔버에 커플링되는,
    프로세싱 시스템.
  7. 제 6 항에 있어서,
    기판을 어닐링하기 위한 제 4 프로세스 챔버를 더 포함하며,
    상기 제 4 프로세스 챔버는 상기 제 1 이송 챔버에 커플링되는,
    프로세싱 시스템.
  8. 제 7 항에 있어서,
    상기 제 1 이송 챔버에 커플링되는, 하나 또는 둘 이상의 Ⅲ-Ⅴ 재료들을 증착하기 위한 제 5 프로세스 챔버를 더 포함하는,
    프로세싱 시스템.
  9. 제 8 항에 있어서,
    상기 제 1 프로세스 챔버는 n-타입 Ⅲ-Ⅴ 재료들을 증착하고, 상기 제 5 프로세스 챔버는 p-타입 Ⅲ-Ⅴ 재료들을 증착하는,
    프로세싱 시스템.
  10. 제 1 항에 있어서,
    상기 제 1 프로세스 챔버는,
    상기 제 1 프로세스 챔버를 둘러싸며 그리고 하우스 배기 시스템(house exhaust system)으로 환기하는(ventilating) 제 1 엔클로저(enclosure); 및
    상기 제 1 엔클로저 근처에 배치되는 제 2 엔클로저 ― 상기 제 1 엔클로저는 상기 제 1 엔클로저와 상기 제 2 엔클로저 사이의 액세스 포트를 통해 상기 제 2 엔클로저에 대해 선택적으로 개방됨 ―;
    를 더 포함하는,
    프로세싱 시스템.
  11. 프로세싱 시스템으로서,
    제 1 이송 챔버 ― 상기 제 1 이송 챔버는 상기 제 1 이송 챔버에 커플링된 하나 또는 둘 이상의 프로세스 챔버들에 기판을 이송하거나 상기 하나 또는 둘 이상의 프로세스 챔버들로부터 기판을 받을 수 있음 ― ; 및
    상기 제 1 이송 챔버에 커플링된, 하나 또는 둘 이상의 Ⅲ-Ⅴ 재료들을 증착하기 위한 제 1 프로세스 챔버를 포함하며,
    상기 프로세스 챔버는,
    상기 프로세스 챔버 내의 요구되는 위치에 기판의 프로세싱 표면을 지지하기 위해 상기 프로세스 챔버 내에 배치되는 기판 지지체;
    상기 기판 지지체의 제 1 측면에 배치되며, 제 1 프로세스 가스를 제공하기 위한 제 1 유동 경로 및 상기 제 1 프로세스 가스와 독립적으로 제 2 프로세스 가스를 제공하기 위한 제 2 유동 경로를 갖는 주입기 ― 상기 주입기는 상기 기판의 상기 프로세싱 표면에 걸쳐 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스를 제공하도록 위치됨 ― ;
    상기 제 1 프로세스 가스를 제공하기 위해 상기 주입기에 커플링된 제 1 가스 소스 ― 상기 제 1 프로세스 가스는 Ⅲ족 원소를 포함함 ―;
    상기 제 2 프로세스 가스를 제공하기 위해 상기 주입기에 커플링된 제 2 가스 소스 ― 상기 제 2 프로세스 가스는 Ⅴ족 원소를 포함함 ―;
    상기 기판의 상기 프로세싱 표면에 상기 제 1 프로세스 가스를 제공하기 위해 상기 기판 지지체 위에 배치되는 샤워헤드; 및
    상기 프로세스 챔버로부터 상기 제 1 프로세스 가스 및 제 2 프로세스 가스를 배기하기 위해, 상기 주입기의 반대편 동일 평면상에, 상기 기판 지지체의 제 2 측면에 배치되는 가열된 배기 매니폴드
    를 포함하는,
    프로세싱 시스템.
  12. 제 11 항에 있어서,
    제 2 이송 챔버; 및
    상기 제 2 이송 챔버를 상기 제 1 이송 챔버에 커플링하는 하나 또는 둘 이상의 중간 로드락 챔버들을 더 포함하는,
    프로세싱 시스템.
  13. 제 12 항에 있어서,
    기판을 플라스마 세정하기 위한 제 2 프로세스 챔버; 및
    하이-k 유전 재료를 증착하기 위한 제 3 프로세스 챔버를 더 포함하고,
    상기 제 2 프로세스 챔버 및 제 3 프로세스 챔버는 상기 제 2 이송 챔버에 커플링되는,
    프로세싱 시스템.
  14. 제 13 항에 있어서,
    기판을 어닐링(anneal)하기 위한 제 4 프로세스 챔버를 더 포함하고,
    상기 제 4 프로세스 챔버는 상기 제 1 이송 챔버에 커플링되는,
    프로세싱 시스템.
  15. 제 14 항에 있어서,
    상기 제 1 이송 챔버 및 제 2 이송 챔버는, 압력, 퍼지 가스 유동, 수분 레벨, 또는 잔여 가스 레벨 중에서 하나 또는 둘 이상을 포함하는, 하나 또는 둘 이상의 독립적으로 제어되는 챔버 파라미터들을 가지는,
    프로세싱 시스템.
  16. 제 1 항에 있어서,
    상기 제 2 유동 경로는 상기 제 1 유동 경로와 상이한,
    프로세싱 시스템.
  17. 제 11 항에 있어서,
    상기 제 2 유동 경로는 상기 제 1 유동 경로와 상이한,
    프로세싱 시스템.
KR1020137031080A 2011-04-25 2012-04-20 반도체 기판 프로세싱 시스템 KR101888366B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161478776P 2011-04-25 2011-04-25
US61/478,776 2011-04-25
US13/441,382 2012-04-06
US13/441,382 US9512520B2 (en) 2011-04-25 2012-04-06 Semiconductor substrate processing system
PCT/US2012/034374 WO2012148801A2 (en) 2011-04-25 2012-04-20 Semiconductor substrate processing system

Publications (2)

Publication Number Publication Date
KR20140031908A KR20140031908A (ko) 2014-03-13
KR101888366B1 true KR101888366B1 (ko) 2018-08-14

Family

ID=47020284

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137031080A KR101888366B1 (ko) 2011-04-25 2012-04-20 반도체 기판 프로세싱 시스템

Country Status (7)

Country Link
US (1) US9512520B2 (ko)
KR (1) KR101888366B1 (ko)
CN (1) CN103493180B (ko)
DE (1) DE112012001864T5 (ko)
SG (1) SG194144A1 (ko)
TW (1) TWI594351B (ko)
WO (1) WO2012148801A2 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
CN107354428B (zh) * 2012-07-02 2020-10-20 应用材料公司 用于制造装置的方法和设备
TW201408811A (zh) * 2012-08-28 2014-03-01 Univ St Johns 多流向原子層沈積系統
US9532401B2 (en) * 2013-03-15 2016-12-27 Applied Materials, Inc. Susceptor support shaft with uniformity tuning lenses for EPI process
TWI648427B (zh) * 2013-07-17 2019-01-21 應用材料股份有限公司 用於交叉流動類型的熱cvd腔室之改良的氣體活化的結構
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
CN105493229B (zh) * 2013-08-19 2019-04-05 应用材料公司 用于杂质分层外延法的设备
US10047457B2 (en) 2013-09-16 2018-08-14 Applied Materials, Inc. EPI pre-heat ring
WO2015123022A1 (en) * 2014-02-14 2015-08-20 Applied Materials, Inc. Upper dome with injection assembly
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10760161B2 (en) * 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US9920844B2 (en) * 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
CN107690487B (zh) * 2015-06-12 2021-03-09 应用材料公司 用于半导体外延生长的注射器
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
CN109196621B (zh) * 2016-06-01 2023-09-05 应用材料公司 用于3dnand应用的隧道氧化物的高压氨氮化
CN107644949B (zh) * 2016-07-21 2020-11-24 Ncd有限公司 在oled上形成无机薄层的方法
JP7168586B2 (ja) 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル
US10770314B2 (en) 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, tool, and method of manufacturing
CN111033680A (zh) * 2017-08-30 2020-04-17 应用材料公司 集成式外延系统高温污染物去除
KR102396319B1 (ko) * 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
JP7034817B2 (ja) * 2018-04-19 2022-03-14 株式会社日本製鋼所 レーザ処理装置及び半導体装置の製造方法
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
US11486038B2 (en) * 2019-01-30 2022-11-01 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
FI129627B (en) * 2019-06-28 2022-05-31 Beneq Oy Nuclear layer cultivation equipment
FI129578B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
TW202300686A (zh) 2021-03-18 2023-01-01 荷蘭商Asm Ip私人控股有限公司 形成半導體裝置結構之方法、半導體處理系統、及半導體裝置結構
US12094709B2 (en) 2021-07-30 2024-09-17 Applied Materials, Inc. Plasma treatment process to densify oxide layers
CN114059158A (zh) * 2021-11-17 2022-02-18 西安奕斯伟材料科技有限公司 一种用于晶圆外延生长的晶圆支撑杆装置、设备及方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100297420B1 (ko) * 1996-05-13 2001-10-24 조셉 제이. 스위니 증착챔버및저유전막형성방법
US20070101939A1 (en) 2003-04-16 2007-05-10 Cree, Inc. Deposition systems and susceptor assemblies for depositing a film on a substrate
US20070181057A1 (en) 2006-02-03 2007-08-09 Applied Materials, Inc. Epitaxial deposition process and apparatus

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
DE3772659D1 (de) * 1986-06-28 1991-10-10 Ulvac Corp Verfahren und vorrichtung zum beschichten unter anwendung einer cvd-beschichtungstechnik.
US4849260A (en) * 1986-06-30 1989-07-18 Nihon Sinku Gijutsu Kabushiki Kaisha Method for selectively depositing metal on a substrate
US4994301A (en) * 1986-06-30 1991-02-19 Nihon Sinku Gijutsu Kabusiki Kaisha ACVD (chemical vapor deposition) method for selectively depositing metal on a substrate
US4800105A (en) * 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US5096534A (en) * 1987-06-24 1992-03-17 Epsilon Technology, Inc. Method for improving the reactant gas flow in a reaction chamber
DE3721636A1 (de) * 1987-06-30 1989-01-12 Aixtron Gmbh Quarzglasreaktor fuer mocvd-anlagen
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
JPH0225577A (ja) * 1988-07-15 1990-01-29 Mitsubishi Electric Corp 薄膜形成装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
US5534069A (en) * 1992-07-23 1996-07-09 Canon Kabushiki Kaisha Method of treating active material
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6090211A (en) * 1996-03-27 2000-07-18 Matsushita Electric Industrial Co., Ltd. Apparatus and method for forming semiconductor thin layer
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6347636B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5914050A (en) * 1997-09-22 1999-06-22 Applied Materials, Inc. Purged lower liner
US20030164225A1 (en) * 1998-04-20 2003-09-04 Tadashi Sawayama Processing apparatus, exhaust processing process and plasma processing
US6281141B1 (en) * 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
JP4809562B2 (ja) * 1999-12-22 2011-11-09 アイクストロン、アーゲー 化学気相成膜反応室
WO2002015243A1 (fr) * 2000-08-11 2002-02-21 Tokyo Electron Limited Dispositif et traitement de substrat
JP2002110564A (ja) * 2000-10-02 2002-04-12 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
JP3670208B2 (ja) * 2000-11-08 2005-07-13 アルプス電気株式会社 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP2002371361A (ja) * 2001-06-18 2002-12-26 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003077974A (ja) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6927140B2 (en) * 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
TWI277363B (en) * 2002-08-30 2007-03-21 Semiconductor Energy Lab Fabrication system, light-emitting device and fabricating method of organic compound-containing layer
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
JP4833512B2 (ja) * 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US7264849B2 (en) * 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
WO2005020309A1 (ja) * 2003-08-26 2005-03-03 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
CN101061253B (zh) * 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
JP2006176826A (ja) * 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP5117856B2 (ja) * 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US20070080141A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Low-voltage inductively coupled source for plasma processing
KR100745130B1 (ko) * 2006-02-09 2007-08-01 삼성전자주식회사 박막 증착 장치 및 방법
JP4865352B2 (ja) * 2006-02-17 2012-02-01 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7922864B2 (en) * 2007-11-20 2011-04-12 Optisolar, Inc. Quick-change precursor manifold for large-area CVD and PECVD
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
WO2009099254A1 (ja) * 2008-02-08 2009-08-13 Tokyo Electron Limited 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
US8512472B2 (en) * 2008-11-13 2013-08-20 Applied Materials, Inc. Method and apparatus to enhance process gas temperature in a CVD reactor
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
CN101768731B (zh) * 2008-12-29 2012-10-17 K.C.科技股份有限公司 原子层沉积装置
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5268766B2 (ja) * 2009-04-23 2013-08-21 Sumco Techxiv株式会社 成膜反応装置及び成膜基板製造方法
JP5730496B2 (ja) * 2009-05-01 2015-06-10 株式会社日立国際電気 熱処理装置、半導体デバイスの製造方法および基板処理方法
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
KR101884003B1 (ko) * 2011-03-22 2018-07-31 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 증착 챔버를 위한 라이너 조립체
WO2012138866A1 (en) * 2011-04-08 2012-10-11 Applied Materials, Inc. Apparatus and method for uv treatment, chemical treatment, and deposition
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US10344380B2 (en) * 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
CN111211074B (zh) * 2013-04-30 2023-09-22 应用材料公司 具有空间分布的气体通道的气流控制衬垫
KR102264053B1 (ko) * 2013-05-01 2021-06-11 어플라이드 머티어리얼스, 인코포레이티드 Epi 챔버 유동 조작을 위한 주입구 및 배기구 설계

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100297420B1 (ko) * 1996-05-13 2001-10-24 조셉 제이. 스위니 증착챔버및저유전막형성방법
US20070101939A1 (en) 2003-04-16 2007-05-10 Cree, Inc. Deposition systems and susceptor assemblies for depositing a film on a substrate
US20070181057A1 (en) 2006-02-03 2007-08-09 Applied Materials, Inc. Epitaxial deposition process and apparatus

Also Published As

Publication number Publication date
TWI594351B (zh) 2017-08-01
DE112012001864T5 (de) 2014-02-20
US20120266819A1 (en) 2012-10-25
WO2012148801A2 (en) 2012-11-01
WO2012148801A3 (en) 2013-03-14
SG194144A1 (en) 2013-11-29
US9512520B2 (en) 2016-12-06
KR20140031908A (ko) 2014-03-13
CN103493180A (zh) 2014-01-01
TW201308478A (zh) 2013-02-16
CN103493180B (zh) 2018-05-22

Similar Documents

Publication Publication Date Title
KR101888366B1 (ko) 반도체 기판 프로세싱 시스템
KR101938386B1 (ko) 기판 상에 재료들을 증착하기 위한 장치
KR101853274B1 (ko) 기판 상에 재료들을 증착하기 위한 방법들 및 장치
KR101893360B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9396909B2 (en) Gas dispersion apparatus
US20080268154A1 (en) Methods for depositing a high-k dielectric material using chemical vapor deposition process
US20170170393A1 (en) Methods for forming structures with desired crystallinity for mram applications
US20090065816A1 (en) Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
CN106920760B (zh) 衬底处理装置及半导体器件的制造方法
KR20070073898A (ko) 평행 웨이퍼 처리 반응기를 위한 기판 캐리어
US11756828B2 (en) Cluster processing system for forming a transition metal material
CN111105975B (zh) 半导体元件制造系统及操作半导体元件制造系统的方法
US20120201959A1 (en) In-Situ Hydroxylation System
TW201514330A (zh) 對介電基板上以cctba為基礎之cvd鈷成核作用進行改良的表面處理
KR20220157468A (ko) 뱃치 열 프로세스 챔버
CN110998788B (zh) 金属氧化物后处理方法
KR101970378B1 (ko) 인-시튜 수산화 장치
KR102630443B1 (ko) 개선된 온도 균일성을 갖는 공간적 웨이퍼 처리
US20210202298A1 (en) Semiconductor device manufacturing method and semiconductor device manufacturing system

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant