KR100297420B1 - 증착챔버및저유전막형성방법 - Google Patents

증착챔버및저유전막형성방법 Download PDF

Info

Publication number
KR100297420B1
KR100297420B1 KR1019970018373A KR19970018373A KR100297420B1 KR 100297420 B1 KR100297420 B1 KR 100297420B1 KR 1019970018373 A KR1019970018373 A KR 1019970018373A KR 19970018373 A KR19970018373 A KR 19970018373A KR 100297420 B1 KR100297420 B1 KR 100297420B1
Authority
KR
South Korea
Prior art keywords
chamber
gas
substrate
substrate support
oxygen
Prior art date
Application number
KR1019970018373A
Other languages
English (en)
Other versions
KR970077163A (ko
Inventor
쉬지안 리
야신 왕
프레드 씨. 레데커
테트수야 이쉬카와
알란 더블유. 코린스
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR970077163A publication Critical patent/KR970077163A/ko
Application granted granted Critical
Publication of KR100297420B1 publication Critical patent/KR100297420B1/ko

Links

Images

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Inorganic Insulating Materials (AREA)
  • Insulating Bodies (AREA)

Abstract

개선된 증착 챔버(2)는 기판 지지대(14)를 하우징하는 챔버(18)를 한정하는 하우징(4)을 포함한다. 산소와 SiF4의 혼합물은 제 1 노즐 세트(34)를 통해 이송되고 실란은 기판 지지대의 주변(40) 둘레의 챔버내의 제 2 노즐 세트(34a)를 통해 이송된다. 실란(또는 실란과 SiF4의 혼합물)과 산소는 개별적으로 구멍(64, 76)으로부터 기판에 걸쳐 일반적으로 중심적으로 챔버내에 주입된다. 각각의 가스에 대한 광학적 흐름 속도의 사용과 결합되는 가스의 균일한 분산은 막에 걸쳐 균일하게 낮은 유전 상수(3.4 이하)를 초래한다.

Description

증착 챔버 및 저유전 막 형성 방법
본 발명은 증착 챔버 및 저유전 막 형성 방법에 관한 것이다.
현대 반도체 소자의 제조에서 중요한 단계 중 하나는 가스의 화학 반응에 의해 반도체 기판 상에 박막을 형성하는 것이다. 이런 증착 공정은 화학 기상 증착(CVD)으로서 인용된다. 일반적 열적 CVD 공정은 열 유도된 화학 반응이 소망하는 막을 형성할 수 있는 기판 표면에 반응 가스를 공급한다. 고밀도 플라즈마 CVD 공정은 기판 표면에 근접하는 반응대에 무선 주파수(RF) 에너지의 인가함으로써 반응 가스의 해리를 증진시켜 높은 반응성 종류의 플라즈마를 형성한다. 방출된 종류의 높은 반응성은 발생할 화학 반응에 필요한 에너지를 감소시킨다. 그러므로 CVD 공정에서는 더 낮은 온도를 필요로 한다.
고밀도 플라즈마 화학 기상 증착(HDP-CVD) 챔버 디자인중 하나에서, 진공 챔버는 일반적으로 하부를 따라 캐소드로서 기능하는 평면 기판 지지대, 상부를 따르는 평면 애노드, 하부로부터 위쪽으로 연장하는 상당히 짧은 측벽, 및 상부와 상기 측벽을 연결하는 유전체 돔에 의해 한정된다. 유도 코일은 돔에 장착되고 공급 무선 주파수 발생기에 연결된다. 애노드와 캐소드는 전형적으로 바이어스 무선 주파수 발생기에 결합된다. 2개 이상의 균일하게 배치된 가스 분배기 세트, 이를테면 노즐은 전형적으로 측벽에 장착되고 기판지지 표면의 에지상의 영역내로 연장된다. 각각의 세트에 대한 가스 노즐은 상기 세트를 위한 공통 매니폴드에 결합되고; 매니폴드는 가스, 이를테면 아르곤, 산소, 실란(SiH4), TEOS(테트라에톡시-실란), 실리콘 테트라플루오르화물(SiF4)등을 포함하는 처리 가스를 사용하여 가스 노즐을 제공하며, 가스의 성분비는 기판상에 형성될 재료의 형태에 따라 좌우된다. 가스 노즐 세트는 실란과 같은 소정의 가스를, 산소와 같은 다른 가스로부터 개별적으로 챔버로 이송시키는데 필요하기 때문에 공통적으로 사용된다; 산소 및 SiH4와 같은 다른 가스들은 공통 매니폴드를 통해 노즐 공통 세트로 이송될 수 있다. 노즐 단부는 전형적으로 기판 지지대의 주변 둘레 상에 떨어져 배치된 주변 패턴내에 위치되고 처리 가스가 흐르는 출구, 전형적으로 구멍을 가진다.
반도체 소자는 크기가 줄어듬에 따라, 인접한 도체 사이의 캐패시턴스는 증가한다. 캐패시턴스의 증가는 소자의 속도에 악영향을 준다. 이런 문제를 부분적으로 완화하도록, 전형적으로 비도핑된 실리콘 글라스(USG : undoped silicon glass)에 대해 약 4.1인 증착된 유전체막의 유전 상수는 감소되어야 한다. 최근에, SiF4화학을 사용하고 플루오르 도핑된 실리콘 글라스(FSG : fluorine-doped silicon glass)를 형성하는 플루오르 도핑은 유전 상수를 감소하는데 인기가 있다. FSG를 사용하여 3.5의 유전 상수를 가진 열적 안정성을 가지는 양호한 유전체막을 제조하는 것이 가능하다고 믿어진다. 그러나, 유전 상수에 대한 값(3.5)은 손쉽게 얻을 수 있는 것은 아니다.
본 발명의 목적은 3.5 이하의 낮은 유전 상수, 바람직하게 3.4 이하 및 더욱 바람직하게 3.3 이하를 가진 막을 증착하는 증착 챔버 및 증착 방법을 제공하는 것이다.
도 1은 본 발명의 한 실시예에 따라 제조된 증착 챔버를 도시하는 개략적 단면도.
도 2는 종래 기술의 특징적 M자 형상의 증착 두께 변화 플롯을 설명하는 과장도.
도 3은 도 1의 장치를 사용하는 도 2의 증착 두께 변화 플롯내의 개선을 설명하는 도면.
도 4는 SiH4대 실란의 서로 다른 흐름 속도비에 대한 산소 흐름과 대비한 유전 상수의 그래프.
도 5는 3개 구멍을 가지는 도 1의 중앙 노즐의 다른 실시예의 개략도.
도 6은 부가적 산소 통로를 도시하는 중앙 노즐의 영영을 도시하는 도면.
* 도면의 주요부분에 대한 부호의 설명 *
2: 증착 챔버 4 : 하우징
20 기판 34, 34a : 노즐
상기 목적은 (1) 가스의 균일한 적용(바람직하게 실란, 플루오르 공급 가스, 이를테면 SiF4또는 CF4, 산소 공급 가스,이를테면 O2또는 N2O)과 (2) 바람직하게 특별한 챔버를 사용하여 테스트의 결과에 따라 결정되는 가스에 대한 광학적 흐름 속도의 선택의 조합으로 달성된다.
개선된 증착 챔버는 증착 챔버를 한정하는 하우징을 포함한다. 기판 지지대는 증착 챔버내에 하우징된다. 제 1 가스 분배기는 기판 지지대 표면의 주변 둘레 상에 떨어져 배치된 주변 패턴내의 증착 챔버내에 개방되고 일반적으로 기판 지지대 표면의 주변 둘레에 위에 놓인 구멍 또는 다른 출구를 가진다. 일 실시예에서 제 1 가스 분배기는 제 1 및 제 2 노즐 세트를 포함한다. 산소와 SiF4는 바람직하게 제 1 노즐 세트를 통해 챔버로 함께 이송되고 실란(또는 실란과 SiF4)은 제 2 노즐 세트를 통해 이송된다. 산소와 SiF4의 혼합하고 유입하는 제 1 노즐 세트를 통한 조합은 장비 복잡성을 감소시켜 비용이 감소될 수 있다. 제 2 가스 분배기, 바람직하게 중앙 노즐이 사용되고 기판 지지 표면으로부터 위로 일정 간격으로 떨어져 위치된다. 진공 챔버내에 가스, 바람직하게 실란을 주입하기 위한 제 2 가스 분배기의 사용은 제 2 가스 분배기의 사용없이 달성되는 기판 전면에 대한 가스의 균일한 적용을 개선하도록 보조한다.
산소 공급 가스(바람직하게 산소)는 기판 상의 일반적으로 중심 영역내의 하우징의 상부를 통해 챔버로 이송된다. 이것은 바람직하게 실란( 및 어떤 다른 가스)을 운반하는 중앙 노즐과 하우징의 상부에 있는 홀 사이에 형성된 환형 구멍을 통해 산소를 통과시킴으로써 달성된다. 이런 방식으로, 산소는 제 1 가스 분배기의 제 1 노즐 세트를 통해 양쪽 측면으로부터 공급되고, 바람직하게 SiF4와 혼합되며, 실란도 마찬가지로 기판상의 동일 영역내에 공급된다. 또한, 환형 구멍을 통한 산소의 통과는 챔버내의 반응 가스가 챔버의 상부와 중앙 노즐이 연장하는 몸체 사이에 사용되는 시일을 손상시키는 것을 방지한다. 이런 장점은 실란이 환형 구멍으로 통과되고 산소가 중앙 노즐로 통과되는 경우 계속 유지된다.
막 두께와 유전 상수 균일도는 기판의 온도가 기판 전면에 균일함을 유지하는 것을 보장하고 스퍼터링 균일도를 달성하기 위해 디자인된 소스 RF 발생기를 사용함으로써 증진된다.
본 발명의 중요한 특징 중 하나는 챔버로 진입하는 산소의 균일한 분배를 보장하는 것이 매우 중요하다는 인식이다. 이는 챔버의 상부로부터 그리고 챔버의 측면으로부터 산소가 흐르게 함으로써 달성된다. 부가적으로, 챔버의 상부를 통한 산소 흐름 경로의 적당한 구성에 의해, 산소가 시일링 엘리먼트가 플루오르와 같은 반응 가스와 접촉하게 되는 해로운 효과를 방지하는 역할을 할 수 있다.
균일하게 기판에 가스를 공급해야 하는 것외에, 최소 유전 상수를 달성하기 위해 정확한 비율의 가스, 전형적으로 산소, 실란 및 SiF4를 사용해야 한다. 각각에 대한 적당한 흐름 속도는 사용되는 특별한 챔버에 따라 변화할 것이다. 따라서, 최소 유전 상수를 가진 고품질 유전체막을 제공하는 흐름 속도의 세트를 알아내기 위해 다양한 흐름 속도 비율을 테스트하는 것은 본 발명의 다른 특징이다.
본 발명의 다른 특징과 장점은 바람직한 실시예가 첨부한 도면과 관련하여 상세히 설명되는 다음의 상세한 설명으로부터 도출될 것이다.
도 1은 RF 유도 코일(8, 9)의 2개 세트에 의해 둘러싸여진 일반적으로 실린더화된 유전체 밀폐체(6)를 포함하는 하우징(4)을 포함하는 증착 챔버(2)를 설명한다. 밀폐체(6)는 유전체 재료외에 RF 투명 재료로 제조될 수 있다. 코일(8, 9)은 한쌍의 소스 RF 발생기(10, 11)에 의해 전력이 공급된다. 또한 챔버(2)는 하우징(4)내에 한정된 상기 진공 챔버(18)내에 기판 지지 표면(16)을 갖는 수냉 기판 지지대(14)를 포함한다. 표면(16)은 챔버(18) 내에서 기판을 지지하는데 사용된다. 기판 지지대(14)는 캐소드로서 기능하고 정합 회로(24)를 통해 바이어스 RF 발생기(22)에 연결된다. 일반적으로 하우징(4)의 실린더형 측벽(30)은 하우징(4)의 하부(32)를 유전체 밀폐체(6)에 연결시킨다. 측벽(30)은 애노드로서 기능한다.
처리 가스는 균등하게 배치된 2개 세트의 노즐(34, 34a)을 통해 기판(20)을 둘러싸는 영역내의 진공 챔버(18)에 유입된다. 노즐(34, 34a)은 링형상 패턴으로 배열되고 각각 가스 매니폴드(36, 36a)에 유체적으로 결합된다. 매니폴드(36, 36a)는 제 1 및 제 2 가스 소스(35, 35a)로부터 제 1 및 제 2 가스 제어기(33, 37a)와 제 1 및 제 2 보급 라인(39, 39a)을 통해 처리 가스를 공급한다. 각각의 노즐(34, 34a)은 그것의 말단부에 구멍(38)을 가진다. 상기 노즐(34, 34a)의 구멍(38)은 기판 지지대(14)의 주변(40)상에 배열되어 기판(20)의 주변(42)에 배열된다. 진공 쳄버(18)는 배기 포트(44)를 통해 배기된다.
도 2는 종래의 증착 챔버를 위한 전형적 USG 증착 두께 변화 플롯(46)을 도시한다. 상기 평균 두께는 기선(48)에 의해 도시된다. 플롯(46)에 의해 알 수 있는 바와 같이, 기판(20)의 상기 주변(42)에 대응하는 상기 플롯(46)의 종말점(50,52)에서 상당히 급격한 두께의 증가가 있다. 상기 플롯(46)의 중앙(54)은 실질적으로 마찬가지로 급강하한다.
1995년 12워 13일 제출된 미국 특허 출원 제08/571,618호는 제 3 가스 제어기(60)와 제 3 가스 보급 라인(62)을 통해 제 3 가스 소스(58)에 결합되는 중앙 노즐(56)의 사용을 통한 플롯(46)의 개선 방법을 개시하고 있다. 중앙 노즐(56)은 기판 지지 표면(16) 위로 실질적으로 중앙에 위치되는 구멍(64)을 가진다. 중앙 노즐(56)의 사용은 도 2로부터 도 3의 바람직한 플롯(68)으로의 USG 증착 두께 변화 플롯(46)의 변형을 허용한다. 바람직한 증착 두께 변화 플롯(68)은 상기 증착 두께의 표준 변화가 1 시그마의 약 1 내지 2%가 될 수 있도록 충분히 평탄하다. 이것은 주로 종말점(50,52)에서 상기 플롯의 급격한 기울기를 감소시키고 플롯(46)의 중앙(54)에서의 하부점을 증가시킴으로써 달성된다.
상기 챔버(2)의 여러 가지 구성 요소는 프로세서(도시 안됨)에 의해 제어된다. 상기 프로세서는 컴퓨터 판독 가능 매체(또한 도시 안됨)의 제어하에 동작한다. 컴퓨터 프로그램은 여러 가지 동작 파라미터, 이를테면 시간, 가스의 혼합, 챔버 압력, 기판 지지 온도 및 RF 전력 레벨을 명령한다.
본 발명은 3.5 미만, 바람직하게 3.4 미만, 더욱 바람직하게 3.3 미만의 유전 상수를 갖는 막을 형성하는 방식으로 상술된 구조에 따라 개선된다; 이런 낮은 유전 상수값은 기판(20)상의 일반적으로 균일한 방식으로 달성된다. 상기 유전 상수의 균일한 감소는 소자 크기가 감소됨에 따라 밀접하게 배치된 도체 사이의 캐패시턴스가 당연히 증가할 것이기 때문에 중요하다. 상기 캐패시턴스를 감소시키고 소자의 작동 속도를 증가시키기 위해, 증착된 유전체막의 유전 상수는 감소되어야 한다.
본 발명은 바람직하게 노즐(34)의 구멍(38)을 통해 챔버(18)내로 유입하기 위한 제 1 가스 소스(35)로부터 SiF4와 산소의 조합을 공급한다. 이렇게 함으로써 상기 가스의 이송을 간략화시키고 비용을 감소시킨다. 실란(SiH4)은 바람직하게 제 2 가스 제어기(37a)를 통해 그리고 노즐(34a)을 통해 제 2 가스 소스(35a)로부터 챔버(18)내로 이송된다. 부가적으로, 제 3 가스 소스(58)는 바람직하게 기판(20)위에서 챔버(18)내로 실란(또는 예를 들어 실란과 SiF4의 혼합물)을 유입하는데 사용될 수 있다. 이것과 관련하여, 산소는 또한 기판(20)상의 위치로부터 상기 실란의 흐름 경로로부터 분리하는 흐름 경로를 따라 챔버(18)내로 진행된다.
산소는 이를테면 SiF4와 같은 상대적으로 안정한 가스와 혼합될 수 있다; 그러나, 실란과 산소의 반응 특성때문에, 이런 화합물은 이들의 챔버(18)내의 유입때까지 개별적으로 유지되어야 한다. 이것을 달성하기 위하여, 분리 노즐(34, 34a)이 기판 지지대(14) 둘레의 영역에 사용된다; 또한 산소 경로(70)가 밀폐체(6)의 상부(75)에 장착된 몸체(72)내에 형성된다. 경로(70)는 산소 제어기(73)를 통해 산소 소스(71)에 연결된다. 제 3 가스 라인(62)은 몸체(72)를 통과하여 중앙 노즐(56)에서 종결한다. 중앙 노즐(56)은 상부(75)에 형성된 개구부(74)를 통과한다. 노즐(56)과 개구부(74)는 진공 챔버(18)와 산소 경로(70)와 연통되는 유체의 환형 구멍(76)을 제공한다. 유체 시일(78)은 몸체(72)와 상부(75) 사이에 제공된다. 따라서 산소는 경로(70)를 통해 최종적으로 환형 구멍(76)을 통해 몸체(72)와 상부(75)사이에 한정되고 유체 시일(78)에 의해 속박된 영역내로 진행한다. 이런 방식으로 산소를 주입함으로써, 그렇지않으면 유체 시일(78)에 불리한 영향을 줄수 있는 가스, 이를테면 플루오르 화합물은 흐르는 산소의 세정 효과 또는 세척 효과에 의해 상기 유체 시일에 도달하지 못한다. 또한 시일(78)이 열화되지 않도록 산소외의 가스가 사용될 수 있다.
상기 설명된 구조를 사용하는 균일한 가스 분배와 관련하여, 균일한 유전 상수는 또한 기판(20)에 걸친 온도 균일도와 스퍼터링 균일도를 따라 좌우된다. 예를 들면, 기판에 따라 보다 균일한 온도 분배를 달성하는데 사용될 수 있는 구조의 설명을 위해 1996년 4월 25일에 제출되고, 발명자 B. Lue, T. Ishikawa, F.Redeker, M. Wong과 S.Li의 "감소된 접촉 면적과 온도 피드백을 가지는 압력대를 가진 기판지지대"란 제목으로, 어플라이드 머티어리얼스, 인코포레이티드에 양도된 미국 특허 출원 제0/641,147호를 참조하라. 1995년 2월 15일에 제출되고 "유도 결합된 플라즈마 반응기의 RF 전력 소스에 대한 자동 주파수 튜닝"으로 명명된 미국 특허 제08/389,888호와 1995년 7월 26에 제출되고 "전기적 가변 밀도 프로파일을 가진 플라즈마 소스"로 명명되고 또한 어플라이드 머티어리얼스, 인코포레이티드에 양도된 미국 특허 출원 제08/507,726호는 증진된 스퍼터링 균일도를 위한 구조를 개시하고 있다.
SiF4와 실란의 전체 흐름의 변화는 증착 속도와 처리량에 영향을 끼친다. 높은 처리량은 높은 스퍼터링과 높은 에칭률을 달성하기 위해 바이어스 전력 소스(22)로부터 높은 바이어스 전력을 요구한다. 에칭률은 기판의 온도에 따라 큰 영향을 받기 때문에 높은 바이어스 전력과 높은 처리량은 기판(20)에 걸친 온도 균일도가 달성되는 경우에만 가능하다.
사용될 상기 SiF4, 실란(SiH4)과 산소의 양의 결정은 전체 새로운 층의 복잡성을 형성한다. 실리콘(예를 들어 SiH4와 SiF4)의 전체 흐름 속도가 일정하게 유지한다고 가정하면, 수개 기본적 진술은 이런 여러 가지 성분의 사용을 고려하여 형성될 수 있다고 믿어진다. 너무 적은 산소가 사용된다면, 상기 증착 속도는 극도로 떨어져 너무 비능률적인 처리를 형성한다. 너무 적은 산소는 상기 막에 편입된 과잉 플루오르로 실리콘이 풍부한 막을 남길 수 있다. 너무 많은 산소가 사용된다면, 얻어지는 막은 더많은 USG가 되고 상기 유전 상수는 높아진다. 너무 많은 SiF4가 사용된다면, 노화 문제를 초래할 수 있고, 노화 문제는 시간에 걸쳐 플루오르가 상기 얻어지는 막의 복잡한 화학으로 단단히 결합되지 않고 방출되기 때문에 초래되고 소자의 열화를 초래한다. 너무 많은 실란은 상기 막이 USG 처럼 더욱 행동하도록 할 것이고 그러므로 바람직하지 않은 레벨의 유전 상수를 초래한다.
상기 기판 표면에서 산소, SiF4및 실란의 최적의 양은 화학량론적 비율이다. 그러나, 챔버(2)와 다른 증착 챔버를 포함하는 증착 챔버내의 흐르는 가스의 화학량론 비율은 상기 화학량론 비율이 아닌 기판 표면에서의 가스 비율을 따른다. 상기 기판 표면에서의 화학량론 비율을 달성하는데 요구되는 상기 증착 챔버내로 흐르는 가스의 실제 비율은 특정 챔버의 구조에 따라 적어도 부분적으로 상기 화학량론적 비율으로부터 변화할 것이다. 화학량론의 양에 보다 더 가까운 가스 흐름 비를 사용할 수 있어 상기 챔버에 더욱 효과적이며 가스가 덜 낭비된다.
바람직한 유전 상수(3.5 이하, 바람직하게 3.4 이하 및 더욱 바람직하게 3.3 이하)를 달성하도록 특별한 챔버에 대한 SiF4, 실란 및 산소의 적당한 관련 흐름 속도를 결정하기 위하여, 3개 성분의 비율은 기판(20)상의 다수의 유전체막을 형성하도록 어떤 요구된 방식으로 변경될 수 있다. 다음에 각각의 유전체막에 따른 서로 다른 위치에서의 유전 상수가 측정될 수 있다. 그러나, 몇몇은 정리되어 있는 관련 량으로 제한한다. 너무 많거나 너무 적은 SiF4와 실란을 초래하는 문제를 줄이거나 소거하기 위해 SiF4의 퍼센테이지는 전체 실리콘 공급용 가스의 약 40% 내지 60%가 되어야 한다. 산소는 전체 실리콘 공급용 가스의 약 60% 내지 100% 사이가 될 것이다.
도 4는 SiF4: 실란 : 산소의 비를 변경하여 실행된 테스트 세트의 결과를 도시한다. 전체 반응 가스 흐름 속도(일정한 양의 실란으로 초래하는), 즉 SiF4와 실란의 조합을 위한 흐름 속도를 선택하고, 여러 가지 비율의 SiF4와 실란을 얻기 위하여 SiF4와 실란 사이의 합계를 분할하는 다음에 이런 특성을 사용하여 산소 흐름을 변화시킴으로써, 유전 상수 대 산소 흐름의 도 4에 도시된 그래프가 형성된다. 이런 형태의 그래프는 매우 유용한 데이터를 제공한다.
44 sccm SiF4대 36.4 sccm 실란으로부터 초래하는 플롯 A는 약 62 sccm의 산소 흐름에서 3.4로부터 약 110 sccm의 산소 흐름 속도에서 약 3.8까지 변화하는 유전 상수를 초래한다. 상기 최소 유전 상수가 SiF4대 실란의 이런 비율을 위한 것일지는 이런 그래프로부터 명확하지 않다. 그러나, 최소값은 받아들이기 어려운 낮은 산소 흐름 속도에서 발생한다는 것을 나타낸다. 36 대 44.4의 SiF4대 실란의 sccm 흐름 속도비를 가지는 플롯 B는 최저 유전 상수, 즉 60 sccm의 산소 흐름에서 약 3.2를 제공한다. 플롯 C와 D는 각각 약 3.5 대 3.6의 최소 유전 상수를 가진다. 이런 그래프로부터 특별한 비율의 SiF4대 실란을 위해, 플롯 B에 대한 비율은 수용할 수 잇는 레벨에 있는 산소 흐름으로 최저 유전 상수를 제공한다는 것을 알 수 있다. 플롯 A와 B의 검토는 이런 2개 플롯에 대한 비율 사이의 SiF4대 실란의 비율이 플롯 B에 대한 특성으로 달성할 수 있는 것보다 더 낮은 유전 상수를 산출할 수 있다는 것을 제시한다.
따라서, 본 발명은 감소된 유전 상수를 달성하도록 SiF4(또는 다른 플루오르 공급용 가스)와 실란 화학을 사용하여 낮은 유전 상수를 가진 막을 달성하는 방법을 결정하는 유용하고 효과적인 방법을 제공한다. 각각의 테스트에 대한 단일 합계 반응 가스 흐름 속도를 선택하기 위해 이미 기술된 방법이 현재 사용되지만, 유전 상수 정보의 정돈된 수집을 위해 이미 기술된 방법이 현재 사용되지만, 유전 상수 정보의 정돈된 수집을 위한 다른 방법이 또한 추구될 수 있다. 예를 들면, 모든 3개 변수가 전체 파라미터내에서 변화될 것이 요구될 수 있다.
사용에서, 낮은 유전 상수를 가지는 막은 우선 전형적으로 서로 다른 테스트 결과를 플롯팅함으로써 이미 개시된 방법으로 상기 SiF4, 실란 및 산소의 적당한 흐름 속도를 결정함으로써 기판(20) 상에 증착될 수 있다. 일단 특별한 챔버에 대한 요구된 속도가 결정되면, 실란이 제 2 가스 소스(35a)로부터 챔버(18)내로 유입되고, 실란과 SiF4는 제 3 가스 소스(58)로부터 챔버(18)내로 유입되고, 산소는 산소 소스(71)로부터 상기 챔버내로 유입되며, 산소와 SiF4의 혼합물은 제 1 가스 소스(35)로부터 챔버(18)내로 유입된다. 또한 아르곤은 제 1 및 제 3 소스(35, 38)로부터 유입된다. 또한 증착 균일도는 기판(20)의 온도가 기판 표면 상에서 균일하게 제어되도록 보장함으로써, 그리고 균일한 스퍼터링의 달성을 보조하는 가변 주파수 소스 RF 발생기(10, 11)의 사용에 의해 보조된다.
이미 기술된 실시예는 8인치(20 ㎝)의 직경을 가지는 기판(20)에 대해 설계된 것이다. 더 큰 직경의 기판, 이를테면 12 인치(30 ㎝)의 직경을 가지는 기판은 상기 노즐 장치(56')에 의해 도 5에 도시된 바와 같은 다중 중앙 노즐(56a)의 사용을 요구할 수 있다. 이런 실시예에서 상기 증착 두께 변화 플롯은 적당히 3개 범프(도 3에 도시된 바와 같이), 4개 범프 또는 5개 범프 형태를 가질 것이다. 상기 증착 두께 플롯에 대한 특별한 형태는 중앙 노즐(54A)과 구멍(64)의 형태, 수, 방향 및 간격에 의해 영향을 받게 될 것이다.
구멍(76)에 부가적으로, 또한 산소는 도 6에 도시된 바와 같은 다수의 하향 및 외부로 연장하는 통로(80)를 통해 챔버(18)내로 진입될 수 있다. 각각의 통로(80)는 산소가 챔버(18)내로 진입하는 구멍(82)을 가진다. 필요하다면, 다른 가스, 이를테면 아르곤은 실란 통과 구멍(64) 또는 산소 통과 환형 구멍(76 또는 82) 중 하나 또는 둘다로 혼합될 수 있다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다. 예를 들면, 중앙 노즐(56)은 다중 출구 또는 가스 출구의 원형 어레이를 가지는 샤워 헤드 형태의 가스 분배기에 의해 대체될 수 있다. 유사하게, 노즐(34, 34a 또는 56a)은 처리 가스가 챔버(18)내로 이송되는 가스 출구 또는 구멍을 가지는 링 또는 링형 구조에 의해 대체될 수 있다. 개별 노즐(34, 34a)이 바람직한 반면, 단일 세트의 노즐(34)이 실란과 SiF4(산소는 아님)를 공급하는데 사용될 수 있다. 산소 소스(71)가 노즐(56)에 연결되고 소스(58)가 경로(70)에 연결되도록 산소 소스(71)와 제 3 가스 소스(58)가 전환될 수 있다.
본 발명은 각각의 가스에 대한 광학적 흐름 속도의 사용과 결합되는 가스의 균일한 분산이 막에 걸쳐 균일하게 낮은 유전 상수를 초래하여 낮은 유전 상수를 가진 유전체 막을 제공한다.

Claims (10)

  1. 챔버를 한정하는 하우징;
    상기 챔버내에 기판 지지용 표면을 가지는 기판 지지대;
    상기 기판 지지 표면 둘레에 있는 챔버내로 개방하는 제 1 출구를 가지는 제 1 가스 분배기;
    상기 기판 지지 표면의 실질적 중심 영역으로부터 일정 간격 떨어져 있고 상기 중심 영역 위에 놓인 제 2 출구를 가지는 제 2 가스 분배기; 및
    상기 기판 지지 표면 위쪽으로 실질적 중심 위치에서 상기 진공 챔버 속으로 개방되는 제 3 출구가 있고, 상기 제 3 출구는 제 2 출구 주위를 둘러싸며, 제 2 가스 분배기와 유체적으로 절연되어 있는 제 3 가스 분배기를 포함하는 것을 특징으로 하는 증착 챔버.
  2. 제 1항에 있어서,
    상기 하우징은 접근 개구부를 한정하는 상부를 포함하고;
    상기 제 3 가스 분배기 및 제 2 가스 분배기 중 선택된 하나는 상기 접근 개구부 위에 놓인 상기 상부에 장착되는 몸체를 포함하고;
    상기 제 2 가스 분배기는 상기 접근 개구부를 통과하여 상기 진공 챔버내의 상기 제 2 출구에서 종결되는 확장부를 포함하고;
    상기 몸체와 상기 상부에 사이에 포획된 유체 시일은 상기 접근 개구부의 주위를 둘러싸고;
    상기 제 3 가스 분배기의 경로를 따르는 가스의 통과가 상기 챔버내로부터의 가스가 상기 시일과 접촉하지 못하도록 경로가 상기 유체 시일에 의해 일부가 한정되고 상기 제 3 가스 분배기의 출구에 유체적으로 결합되는 것을 특징으로 하는 증착 챔버.
  3. 제 1항에 있어서, 상기 하우징에 장착되고 무선 주파수 발생기에 결합되는 유도 코일을 더 포함하는 것을 특징으로 하는 증착 챔버.
  4. 기판 지지대를 하우징하는 진공 챔버와 상기 기판 지지대 주위에 위치된 제 1 처리 가스 출구를 가진 처리 가스 분배기를 포함하는 형태의 개선된 증착 챔버에 있어서,
    상기 기판 지지대로부터, 상기 기판 지지대 상에 중심적으로 일정 간격 배치된 제 2 처리 가스 출구를 가지는 제 2 처리 가스 분배기; 및
    상기 기판 지지대로부터, 상기 기판 지지대 상에 중심적으로 일정 간격 배치된 제 3 출구를 가지는 산소 공급용 가스 분배기를 포함하는 것을 특징으로 하는 증착 챔버.
  5. 제 4항에 있어서, 상기 제 2 처리 가스 출구는 노즐에 의해 한정되며, 상기 제 3 추구는 상기 노즐을 둘러싸는 것을 특징으로 하느 증착 챔버.
  6. 증착 챔버내에서 기판상에 막을 형성하기 위한 방법에 있어서,
    상기 챔버내에서 기판을 둘러싸는 다수의 위치에서 상기 챔버내로 제 1 처리 가스를 주입하는 단계;
    상기 기판으로부터 일정 간격 배치되고 상기 기판 상의 중앙에 배치된 제 1 영역에서 상기 챔버내로 제 2 처리 가스를 주입하는 단계; 및
    상기 기판으로부터 일정 간격 배치되고 상기 기판 상의 중앙에 배치된 제 2 영역에서 상기 챔버내로 산소 공급용 가스를 주입하는 것을 특징으로 하는 막 형성 방법.
  7. 제 6항에 있어서, 상기 제 1 처리 가스 주입 단계는 적어도 산소 공급용 가스와 플루오르 공급용 가스를 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
  8. 제 6항에 있어서, 상기 제 2 처리 가스 주입 단계는 적어도 실란을 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
  9. 제 6항에 있어서, 상기 제 1, 제 2, 및 산소 공급용 가스 흐름 속도에 대한 가스 흐름 속도 비율을 선택하는 단게를 더 포함하는 것을 특징으로 하는 막 형성 방법.
  10. 제 6항에 있어서, 상기 제 1 및 제 2 처리 가스 주입 단계는 서로 다른 구성물을 가지는 가스를 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
KR1019970018373A 1996-05-13 1997-05-13 증착챔버및저유전막형성방법 KR100297420B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US64761996A 1996-05-13 1996-05-13
US08/647,619 1996-05-13

Publications (2)

Publication Number Publication Date
KR970077163A KR970077163A (ko) 1997-12-12
KR100297420B1 true KR100297420B1 (ko) 2001-10-24

Family

ID=24597681

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970018373A KR100297420B1 (ko) 1996-05-13 1997-05-13 증착챔버및저유전막형성방법

Country Status (6)

Country Link
EP (1) EP0807694B1 (ko)
JP (1) JP4087923B2 (ko)
KR (1) KR100297420B1 (ko)
AT (1) ATE229576T1 (ko)
DE (1) DE69717711T2 (ko)
TW (1) TW343356B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101853274B1 (ko) * 2011-07-22 2018-04-30 어플라이드 머티어리얼스, 인코포레이티드 기판 상에 재료들을 증착하기 위한 방법들 및 장치
KR101888366B1 (ko) * 2011-04-25 2018-08-14 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판 프로세싱 시스템

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
TW416100B (en) * 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
KR100406173B1 (ko) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0729827A (ja) * 1993-07-13 1995-01-31 Kawasaki Steel Corp 半導体基板の製造方法および装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54111771A (en) * 1978-02-22 1979-09-01 Toshiba Corp Gas phase reaction unit of semiconductor substrate
JPH02126632A (ja) * 1988-11-05 1990-05-15 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体結晶層の気相成長方法及びそれに用いる反応管
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
KR0131439B1 (ko) * 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법
JP2667364B2 (ja) * 1993-08-16 1997-10-27 キヤノン販売株式会社 成膜装置
JPH07161642A (ja) * 1993-12-03 1995-06-23 Kobe Steel Ltd プラズマ処理装置
JPH07254592A (ja) * 1994-03-16 1995-10-03 Fujitsu Ltd 半導体装置の製造方法
JP3243125B2 (ja) * 1994-06-27 2002-01-07 東京エレクトロン株式会社 処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0729827A (ja) * 1993-07-13 1995-01-31 Kawasaki Steel Corp 半導体基板の製造方法および装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101888366B1 (ko) * 2011-04-25 2018-08-14 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판 프로세싱 시스템
KR101853274B1 (ko) * 2011-07-22 2018-04-30 어플라이드 머티어리얼스, 인코포레이티드 기판 상에 재료들을 증착하기 위한 방법들 및 장치

Also Published As

Publication number Publication date
DE69717711D1 (de) 2003-01-23
JPH1064892A (ja) 1998-03-06
TW343356B (en) 1998-10-21
EP0807694B1 (en) 2002-12-11
KR970077163A (ko) 1997-12-12
EP0807694A1 (en) 1997-11-19
DE69717711T2 (de) 2003-09-18
ATE229576T1 (de) 2002-12-15
JP4087923B2 (ja) 2008-05-21

Similar Documents

Publication Publication Date Title
US6070551A (en) Deposition chamber and method for depositing low dielectric constant films
US5772771A (en) Deposition chamber for improved deposition thickness uniformity
US7455893B2 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD
EP1073108B1 (en) Chemical vapor deposition process for dielectric material
US6121161A (en) Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
KR100297420B1 (ko) 증착챔버및저유전막형성방법
KR100297421B1 (ko) 이산화규소막의불소화에의한응력제어방법및기판처리시스템
US5897711A (en) Method and apparatus for improving refractive index of dielectric films
KR20010098585A (ko) 구리 다마신 집적회로를 위한 고밀도플라즈마-플루오르화규산염 유리 공정
KR20060001082A (ko) 증착 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 16

EXPY Expiration of term